• 已解决 73482 个问题
  • 已帮助 5993 位优秀工程师

vivado内如何使用专用管脚

US 2018-09-27 浏览量:1681
vivado内如果我想操作fpga的配置flash,根据原理图却发现那几个管脚是配置管脚,无法绑定,有什么办法可以绑定呢?
0 0 收起

我来回答

上传资料:
选择文件 文件大小不超过15M(格式支持:doc、ppt、xls、pdf、zip、rar、txt)
最佳答案
  • 可以参考http://bbs.eetop.cn/thread-768130-1-1.html
    • 发布于 2018-09-27
    • 举报
    • 评论 0
    • 0
    • 0

其他答案 数量:4
  • 那几个管脚的功能是不能由用户改变的,是系统专用的功能
    • 发布于2018-10-05
    • 举报
    • 评论 0
    • 0
    • 0

  • 这几个引脚都是厂商出厂就设定好的,用户不能进行更改
    • 发布于2018-10-12
    • 举报
    • 评论 0
    • 0
    • 0

  • 这个引脚没有开放用户更改的接口,因此不能更改
    • 发布于2018-10-24
    • 举报
    • 评论 0
    • 0
    • 0

相关问题

问题达人换一批

vivado内如何使用专用管脚