• 已解决 73482 个问题
  • 已帮助 5993 位优秀工程师

DDS

牛妞小小 2020-07-28 浏览量:732
适用FPGA产生正弦波,出了储存波表的方法还有什么方法?
0 0 收起

我来回答

上传资料:
选择文件 文件大小不超过15M(格式支持:doc、ppt、xls、pdf、zip、rar、txt)
所有亮答 数量:3
  • 存储波表是用空间换时间,如果FPGA上有很快的计算sin的方法,就可以不存储,直接算后输出啊。

    sinX可以用泰勒公式或者三角函数逐次逼近展开来进行近似计算的

    比如,

    sinX= 4X/pi-4*X*ABS(X)/(pi^2)  (-pi<X<pi )   这个公式基本上是拟合度很好,计算最简单的sinX计算公式啦,以2pi为周期把其他X值划入即可方便的输出正弦波值啦。

  • 也可以通过verilog实现对正线函数的运算,如果要使用这种方法,建议上面可以弄个软核,这样还方便开发

  • 可以用软内核实现,移植一个sin生成内核,通过他来实现正弦波数据的生成

相关问题

问题达人换一批

DDS