电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
论坛
问答
试用
评测
下载
样片
![](https://IMG_URL_REPLACE/bbsimages/202006/ac7b2f52580dec2818b13a5811c09bff.png) 本文作者:默 前段时间我在网上看到了一款很有意思的点阵时钟,它可以播报天气,查看 YouTube 的订阅数,还有好看的时间动画。你可以把它当做普通闹钟,也可以连接蓝牙把它当做音箱来使用。它的许多功能都很有意思,其中我最喜欢的....
铁熊 2020-06-06 20:32:49 浏览量:2144
 手把手教你制作ESP8266物联网创意点阵时钟,女朋友看了都想要!
![](https://IMG_URL_REPLACE/bbsimages/202006/9efa4275a84c0a966e79fe6e105728c9.png) 先来看一下成品照片: ![成品图](https://i.loli.net/2019/07/13/5d293b4431f7777490.jpg) 再来看一下演示视频: https://v.qq.com/x/page/g0766n9ses9.html 世界万物,皆有源起,一个事物没有外力的情况下,它会始终...
铁熊 2020-06-09 12:04:10 浏览量:4443
 还在羡慕大疆 RoboMaster S1?技术宅教你自制全向麦克纳姆轮战车!
讲解启动过程之前先简单了解一下内存五区: **1.栈区stack**:由编译器自动分配释放,存放函数的参数值,局部变量的值。 **2.堆区heap**:由程序员分配和释放,若程序员不释放,程序结束时由OS回收。 **3.全局区(静态区 static)**:全局变量和静态变量的存储是放在一块的,初始化的全局变量和静态变量在一块区域,未...
果果小师弟 2020-06-15 09:47:44 浏览量:1274
 STM32第二章-启动过程详解
学习系统时钟之前先问大家一个问题? ![在这里插入图片描述](https://img-blog.csdnimg.cn/20200325091305344.png) 这是一个跑马灯的程序,为什么我们没有在主函数中配置系统时钟,却可以正常的执行流水灯代码呢? 我想大多数人都会说,已经配置了系统时钟,不需要在主函数中调用了。如果你回答不上来或者不清楚系统时钟...
果果小师弟 2020-06-22 21:11:53 浏览量:2308
 STM32第三章-系统时钟配置
注意:本文是建立在自己初学物联网毕设开发的总结之上,只是希望可能能对未来即将进入物联网领域开发的小白做一个简单的概括,大神请绕道 ,我所说的可能与真理会有一丝不同(当然是我才疏学浅的原因),还请包涵。另:本文是自己搭建MQTT服务消息推送系统的,没有使用其他iot的服务 建立自己的物联网应用,听起来是不是有....
mingming 2020-07-09 09:52:27 浏览量:1308
 如何仅花20元成本用arduino平台建立自己的物联网应用
今天发现了一个炒鸡好用的服务器状态监控软件,叫做netdata,相比庞大的zabbix来说,netdata简洁小巧,使用也很方便,不需要更多的配置,基本算是开箱即用,更关键的是,netdata界面非常好看,可以安装在任何Linux系统上。这里将其安装在了树莓派上,以下是安装和使用服务器监控软件的过程。 ## 一、介绍 Netdata是针...
科技爱好者博客 2020-07-11 12:41:32 浏览量:3331
 树莓派上安装netdata软件,监控服务器状态
互联网用域名访问各种服务,很常见。比如访问本站,用 www.icxbk.com 可是在家里,家庭局域网中的 linux 主机,文件服务器等,是直接用 IP 访问的,比如: 用 192.168.3.21 访问 Linux电脑 用 192.168.3.31 访问 文件服务器 #### 本文记录一下在家庭局域网中,使用自己的域名 用 www.robe.lalala 访问 linux电脑 用 file.r...
robe 2020-07-18 20:10:12 浏览量:4207
 在家用属于自己的域名
刚毕业的时候,我年少轻狂,以为自己已经可以独当一面,庙堂之上所学已经足以应付业界需要。然而在后来的工作过程中,我认识了很多牛人,也从他们身上学到了很多,从中总结了一个IC设计工程师需要具备的知识架构,想跟大家分享一下。 # I. 技能清单 作为一个真正合格的数字IC设计工程师,你永远都需要去不断学习更加先进....
李肖遥 2020-07-21 10:18:56 浏览量:862
我们之前网文已经介绍了mini D1 WiFi模块,具体参见下面链接: [mini D1 WiFi模块Arduino开发简介](https://mp.weixin.qq.com/s/fpPoMca08FKZzOM44Fh2Og) 下面网文介绍了如何在阿里云生活物联网平台创建一个智能设备: [七步快速开启产品智能化](https://mp.weixin.qq.com/s/WJGq4D8FDm08MTaZvMu_Eg) 本文我们利用之...
嵌入式从0到1 2020-08-07 23:12:50 浏览量:4546
 ESP8266连接阿里云物联网平台(Arduino方式)
## 前景 - 为啥要自己写一个mini UDP的协议栈?因为我们干偷偷摸摸的事情,哈哈哈!!! - 其实是为了不跑一个庞大的LWIP协议栈,通过自己写的mini udp协议栈截取数据包给设备升级。这样节省了很多资源。LWIP说大也不算大,但是看自己的需求,是否要使用LWIP协议栈了。 - 其实写mini udp协议栈之前,需要先了解UDP协议的....
Rice嵌入式开发 2020-07-23 11:49:23 浏览量:587
 教你动手写UDP协议栈 - UDP数据包解析<1>
## 背景 - 在上一篇文章中讲到UDP的基本内容,UDP的三层封包协议和UDP的软件开发。在上一篇文章中获取从机IP地址的方法是很简单粗暴的,说实在的是一个错误的做法。虽然也是截取DHCP数据包,但是方法不对,所以今天我们来描述一下如何通过正确的方式获取IP地址。 - DHCP(动态主机配置协议),它是一种局域网的网络协议,使....
Rice嵌入式开发 2020-07-23 11:49:58 浏览量:459
 教你动手写UDP协议栈 - DHCP数据包解析<2>
相信大家写业务逻辑的时候,都是面向if、else、for、while、switch编程。但是你见过switch嵌套do..while吗? ## 先上代码 ``` void send( int * to, int * from, int count) { int n = (count + 7 ) / 8 ; switch (count % 8 ) { case 0 : do { * to ++ = * from ++ ; case 7 : * to ++ = * fr...
李肖遥 2020-07-23 19:18:34 浏览量:342
 冷知识:达夫设备(Duff's Device)效率真的很高吗?
本设计基于stm32f103zet6为核心的低成本高精度的设计方法,采用脉搏传感器来进行检测采集人体的脉搏,利用单片机的定时/计数器,实现心率的测量,通过单片机控制进行数字显示和语音播报。以实现快速准确的心率测量,同时当心率出现异常时,通过DS18B20报警模块提醒用户要注意心率检测, 关键词:心率检测;脉搏传感器;语言...
李肖遥 2020-07-24 14:29:37 浏览量:983
 玩转stm32,来完成一个智能心率检测仪
大家好,我是『芯知识学堂』的SingleYork,前面给大家简单介绍了[](https://www.icxbk.com/article/detail/1503.html "")、[](https://www.icxbk.com/article/detail/1507.html "")、[](https://www.icxbk.com/article/detail/1511.html "")和[](https://www.icxbk.com/article/detail/1524.html ""),今天笔者将要给大家介...
芯知识学堂SingleYork 2020-07-31 10:17:56 浏览量:5084
 『免费开源』基于STM32的智能垃圾桶之综合代码(HAL库)
废话不多说,直接开始 首先需要必备的环境arduino ide,Blinker app(应用商店均可下载)以及必备的一些库(aduino ide1.8.7及以上版本,esp8266拓展,blinker arduino库) Arduino IDE1.8.7或更高版本:https://www.arduino.cc/en/Main/Software esp8266拓展:https://pan.baidu.com/s/19yEQ4UDF-bQCzt3BsdV6Yw blinker Ar...
电子芯吧客 2020-08-04 17:26:05 浏览量:1299
 低成本DIY智能远程开关灯小装置。芯片:ESP8266开发版(NodeMcu) 物联网平台:Blinker
# ZYNQ的小白有多白? ## 什么是ZYNQ? ZYNQ是Xilinx公司推出的一款ARM+FPGA的Soc平台,围绕ZYNQ的开发几乎囊括了当下主流的嵌入式系统的开发方法和知识。 ![](https://IMG_URL_REPLACE/bbsimages/202008/773dffc20f26c02a9e6fe3199b3e3d53.png) 这张就是ZYNQ的结构图,ZYNQ是ARM+FPGA来的图中黄色部分就是FPGA,灰色部分....
李肖遥 2020-08-13 14:10:29 浏览量:3856
 花了2000大洋买了块开发板还是不会用,Zynq 的小白有多白?
## 教你动手写UDP协议栈系列文章 | 序号 | 内容 | |-----|------| | 1 | [《教你动手写UDP协议栈-UDP协议栈格式》](https://mp.weixin.qq.com/s/SwiW0hgusYExgo7KdbOyhQ) | | 2 | [《教你动手写UDP协议栈-DHCP报文解析》](https://mp.weixin.qq.com/s/2NlKoSxqQ2EDoinVyx3Flg) | | 3 | [《教你动手写UDP协议栈-OTA上位...
Rice嵌入式开发 2020-08-17 11:25:07 浏览量:2061
 教你动手写UDP协议栈-DNS报文解析<4>
在上篇[因为一个函数strtok踩坑,我被老工程师无情嘲笑了(一)](https://mp.weixin.qq.com/s/WlJONlzpj5jOu6rPSB85og)中,我们分析了strtok()函数,以及windos、Linux下的线程安全版,那么这篇中我们着重分析下解读strtok()的隐含特性,到底strtok有哪些坑。 ## 看源码 要想深究其特性,必须看源码,下面的代码取自glibc-2.....
李肖遥 2020-08-19 20:54:38 浏览量:554
 因为一个函数strtok踩坑,我懂得了看源码的重要性
作者:良知犹存 转载授权以及围观:欢迎添加微信公众号:Conscience_Remains 总述     GPS我们都知道,一种用来全球定位的系统,后来俄罗斯推出了格洛纳斯定位系统,中国推出了北斗定位,欧盟有伽利略,印度与日本也有有发展。所以后来把覆盖全球的自主地利空间定位的卫星系统成为GNSS。     现在卫星定位...
450c4aed63d8393c 2020-08-20 10:56:16 浏览量:537
 从NMEA0183到GNSS定位数据获取
[TOC] --- ## Node M C U介绍 NodemcU是一款开源的固件,使用Lua脚本语言编程,入门简单,让用户能够像Arduino一样操作硬件IO口,比如:通过esp8266读取温湿度传感器数据,通过pwm控制小灯亮度,驱动OLED模块显示信息等。 **官方地址:** https://github.com/nodemcu/nodemcu-firmware **在线编译地址:** h...
wybliw 2020-09-02 13:37:27 浏览量:3508
 ESP8266之NodeMCU固件编译