电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
论坛
问答
试用
文章
评测
样片
单片机就是将一台电脑的结构(cpu(进运算、控制)、ram(数据存储)、rom(程序存储)、输入/输出设备(例如:口、并输出口等))全部集成在一个芯片上,所以就称为单片机。那么如何才能学习好单片机呢?下面我们从简单开始,系统的学习单片机的操作及编程。
峩、那么可笑 2015-07-28 14:41:01 浏览量:676
单片机就是将一台电脑的结构(cpu(进运算、控制)、ram(数据存储)、rom(程序存储)、输入/输出设备(例如:口、并输出口等))全部集成在一个芯片上,所以就称为单片机。那么如何才能学习好单片机呢?下面我们从简单开始,系统的学习单片机的操作及编程。
峩、那么可笑 2015-07-28 14:41:01 浏览量:701
【msp430f149学习】16 异步通信 准备工具:电脑;ts8900--msp430f149开发板;usb转ttl模块;usb线两根 开发环境:iar ew for msp430 v5.30 异步通信模块概述        口是系统与外界联系的重要手段,在嵌入式系统开发和应用中,经常需要上位机实现 系统调试及现场数据的采集和控...
听雨落凡尘 2015-12-10 18:38:53 浏览量:1309
特性带有dma 200mhz arm cortex mcu675 coremark mcu单精度 ieee 754浮点单元2mb flash, 256kb sram and 190 gpios.通信接口:10/100个以太网(802.3),usb主机,usb设备,can、lin,高速四spi、i2s,i2c和uart。arduino uno兼容引脚板载传感器:加速度计和光敏三极管外设:立体声编解码器,sram,闪存和多色ledcmsis-da...
chen0000009 2016-05-24 08:21:21 浏览量:375
本帖最后由 hanghang 于 2016-7-13 20:45 编辑 1.笔者手上正好有一块0.96寸的oled,所以打算用来显示adc采集到的电压值。 (1)oled简介 0.96’ oled 显示模块, 分辨率为 128*64,采用ssd1306 驱动 ic,该芯片内部集成 dcdc 升压,仅需 3.3v 供电,即可正常工作。实际上就是由一个ssd1306控制器和一个128x64的有机...
hanghang 2016-07-13 20:20:01 浏览量:1575
本帖最后由 hanghang 于 2016-7-13 20:45 编辑 1.笔者手上正好有一块0.96寸的oled,所以打算用来显示adc采集到的电压值。 (1)oled简介 0.96’ oled 显示模块, 分辨率为 128*64,采用ssd1306 驱动 ic,该芯片内部集成 dcdc 升压,仅需 3.3v 供电,即可正常工作。实际上就是由一个ssd1306控制器和一个128x64的有机...
hanghang 2016-07-13 20:20:01 浏览量:1590
本帖最后由 hanghang 于 2016-7-13 20:45 编辑 1.笔者手上正好有一块0.96寸的oled,所以打算用来显示adc采集到的电压值。 (1)oled简介 0.96’ oled 显示模块, 分辨率为 128*64,采用ssd1306 驱动 ic,该芯片内部集成 dcdc 升压,仅需 3.3v 供电,即可正常工作。实际上就是由一个ssd1306控制器和一个128x64的有机...
hanghang 2016-07-13 20:20:01 浏览量:2375
guyuemao 2016-09-27 22:42:13 浏览量:1497
frdm-kl46z具有较为丰富的资源,在我们开始动手对frdm-kl46z开发板进操作前,我们先不妨看看frdm-kl46z所具有的硬件资源,方便我们对frdm-kl46z开发有较为了解,能够实现的功能进操作。 首先,先来说一下frdm-kl46z开发板的由来: frdm-kl46z是由恩智浦(飞思卡尔已被其收购)和mbed共同开发的cortex-m0单片机 ; frd...
new world 2016-10-15 13:36:31 浏览量:917
恩智浦maps开发套件前天刚到,离确认日期仅仅两天,对云汉家的效率点个赞。云汉家一向发顺丰快递,快递刚到的时候确实让我眼前一亮,一个边长20厘米的立方体箱子,箱子边长20厘米是什么概念!可以放得下一个台灯,或者一双鞋子,或者一罐元朗蛋卷!可想而知四色板的配件有多大! 开箱之后可以发现四色板的零件由三个...
donatello 2017-02-08 22:24:51 浏览量:1186
今晚用mm32f031开发板做了oled幕的测试,通信的方式是4线spi方式。 用的信号线有如下几条: cs:oled 片选信号。 rst(res):硬复位 oled。 dc:命令/数据标志(0,读写命令;1,读写数据)。 sclk:时钟线。在 4 线模式下,d0 信号线作为时钟线 sclk。 sdin:数据线。在 4 线模式下,d1 信号线作为...
黄莨元 2017-03-01 22:20:20 浏览量:849
本帖最后由 donatello 于 2017-5-22 21:46 编辑 f412disco板子自带了一个1.5寸的电容,分辨率为240*240,非常的小巧而又漂亮。在官方自带的demo例程中我们已经可以领会到这块小电容的强大之处,即使尺寸不大,也能做出类似智能手表的效果。 f412disco板子的电容主控为st7789h2,但是官方例程中却有st7789h2...
donatello 2017-05-22 16:45:09 浏览量:1811
本帖最后由 donatello 于 2017-5-22 21:46 编辑 f412disco板子自带了一个1.5寸的电容,分辨率为240*240,非常的小巧而又漂亮。在官方自带的demo例程中我们已经可以领会到这块小电容的强大之处,即使尺寸不大,也能做出类似智能手表的效果。 f412disco板子的电容主控为st7789h2,但是官方例程中却有st7789h2...
donatello 2017-05-22 16:45:09 浏览量:1738
本帖最后由 wuqaq 于 2017-9-19 14:36 编辑 1、sai介绍 stm32l496自带了两个个音频接口(sai),sai具有灵活性高、配置多样的特点。可以支持:i2s标准、lsb或msb对齐、pcm/dsp、tdm和ac’97等协议,适用于多声道或单声道应用。 2、硬件介绍
wuQAQ 2017-09-19 11:57:53 浏览量:697
stm32f723e-disco使用的tft-lcd驱动芯片是st7789h2。支持262k色,并且可以使用8位,9位,16位以及18位宽的并接口。其内部有240*320*8bit的ram空间。下面是他的数据手册,大体上解析一下,然后开始测试。 st7789h2 tft-lcd 驱动芯片手册: 我明明显示的是手拿风车,躺在青青草地上的兔斯基啊。。。。。。之前板...
就是从这里掉出的 2017-12-18 22:11:59 浏览量:2207
vs-rk3399与vs-rk3288板卡 linuxi2c 简单文档描述分享 前言 rockchip系列芯片为客户提供了标准i2c 总线,方便客户实现对不同外接设备的控制和访问。i2c总线控制器通过数据(sda)线和时钟 (scl)线在连接到总线的器件间传递信息。每个器件都有一个唯一的地址识别(无论是微控制器——mcu、lcd 驱动器、存储器或键...
44fa0e81e605471 2018-10-08 11:56:19 浏览量:997
单片机应用的核心技术是什么?是按键,数码管,流水灯,口。是它们的程序框架。按键和数码管是输入是人机界面,把它们的程序框架研究透了,以后做或者更花销的显示界面,程序框架也可以通用
1234e6 2019-11-18 17:51:54 浏览量:3276
 从单片机基础到程序框架
本应用说明描述了Atmel AT89ISP电缆接口;该系统内编程器电缆与Atmel的AT89S微控制器通信,并在电路中对其重新编程,而无需拆卸。对于表面安装的设备,消除这一步骤将大大降低插入/移除精密引线造成损坏的可能性,并允许在现场进设计更改和软件/参数更新。
寸芒 2020-08-20 11:05:36 浏览量:275
昆泰Chrontel的CH7511B是一种EDP转LVDS半导体器件,它将嵌入式DisplayPort信号转换为LVDS(低压差分信号)。这款DisplayPort接收机带有集成LVDS发射机,专为多功能一体PC和笔记本电脑市场而设计。通过CH7511B的高级解码/编码算法,输入的eDP高速视频数据可以无缝转换为LVDS,LVDS是一种流的中/大型LCD显示器高速...
xbk_758578 2021-07-01 18:08:43 浏览量:164
 替代CH7511B方案|CS5211设计DP转LVDS方案|DP to LVDS设计
摘要:针对现有DSP自举模块普遍存在程序代码更新不便的缺陷,提出了一种可便捷高效地在线更新用户应用程序代 码的DSP自举模块。该模块由基于LabVIEW 的图形用户界面(GUI)软件与C8051F340单片机构成。GUI软件完成 DSP应用程序代码的格式转换,并通过USB将转换完成的程序代码传送给C8...
小雨儿 2016-01-30 20:44:36 浏览量:464