电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
问答
试用
文章
评测
下载
样片
诚向社会招贤纳士,欢迎大家投递简历或电询,谢谢! 公司名称:海门首微电子有限公司 工作地点:江苏海门市海门生物医药科技创业园HMC主楼 (喆啡酒店对面,定位可寻海门市洞庭湖路100号) 联系方式:18616232990 archer@mixinno.com 蒋 公司简介: 海门首微电子有限公司,专注于音频放大器领域:高效率,高性能...
mixinno 2017-01-05 16:56:53 浏览量:856
一、HDL不是硬件设计语言 过去笔者曾碰到过不少VHDL或Verilog HDL初学者问一些相似问题,诸如如何实现除法、开根号,如何写循环语句等等。在这个论坛上,也时常能看到一些网友提出这一类问题。 对于这些问题,首先要明确是VHDL和Veriglog并非是针对硬件设计而开发语言,只不过目前被我们用来设计硬件。HDL是Har...
Eagleson 2017-04-28 08:35:24 浏览量:895
第一步:配置SPI SPI配置分3步,分别如下: 1、使能SPI时钟和所用到引脚时钟。程序如下所示。 /** * @brief 使能SPI时钟 * @param SPIx 需要使用SPI * @retval None */ static void SPI_RCC_Configuration(SPI_TypeDef* SPIx) { if(SPIx==SPI1){ RCC_APB2PeriphClockCm...
yanli 2017-05-18 17:50:50 浏览量:1216
想设计一款基于NXP公司IMX6UL工业级控制板,如何才能完美解决以下问题 1. 确认购买芯片质量 2. 在电路板上构建linux最小系统,包括: Ÿ从官网获取最新版u-boot ŸKernel方面,要添加自定义IC驱动 Ÿ文件系统方面,用以太网、串口,直接驱动多个IO口 3. 电路设计,要确保DDR与百兆以太网等高速信号线...
灵澈 2017-07-18 10:06:52 浏览量:2252
继续测试LCD触摸屏功能,按照针对XPT2046手册和参考以前成功代码案例稍加修改进行测试,结果不近人意。 此款触屏芯片就是一个ADC电路,由外部时钟和简单控制命令实现测芯片温度和读取X,YADC数值,理想线性电阻屏应该是从0~xxxxx,y模拟线性电压值,再根据实际坐标最大和最小个线性修正就可以了。 按照自...
zhjb1 2018-04-28 08:17:28 浏览量:953
来源 网络对于主电源掉电后需要继续工作一段时间来用于数据保存或者发出报警产品,我们往往都能够看见产品PCB板上有大电容甚至是超级电容器身影。大容量电容虽然能延时系统掉电,使得系统在电源意外关闭时MCU能继续完成相应操作,而如果此时重新上电,却经常遇到系统无法启动问题。那么这到底是怎么回事呢?遇到这种...
MindMotion 2018-05-31 11:34:30 浏览量:1062
本帖最后由 shaoziyang 于 2018-8-21 11:07 编辑 最近研究STM32H743Micropython,作为STM32系列里面目前性能最高H系列,和其它芯片相比,性能到底如何于是了一个计算测试,供大家参考。 计算方法: 计算1000000次整数加法 计算1000000次整数乘法 计算1000000次浮点除法 计算5000位圆周率 MicroPy...
shaoziyang 2018-08-21 11:03:14 浏览量:1676
来源 灵动MM32 中国,深圳 – 2018年8月29日,“2018灵动MM32 协作大会”在深圳星河丽思卡尔顿酒店成功举办。业界专家、行业媒体、灵动MM32 MCU代理、经销、开发合作伙伴近500人齐聚一堂,另有超过1800人观看了大会在线直播。大会围绕MM32 MCU市场最新动态、研发进展、发展规划等主题进行了深入探讨,全面解读灵...
MindMotion 2018-08-31 10:54:38 浏览量:1108
![](https://IMG_URL_REPLACE/bbsimages/202103/7377803b125813acd2ebcfd7a9835bda.jpg) ![](https://IMG_URL_REPLACE/bbsimages/202103/2008bb2b3e769f43e62613316a01bd15.jpg) 1) 由 26pin 接口原理图可知,Orange Pi Zero 2 可用 uart 为 uart5 ![](https://IMG_URL_REPLACE/bbsimages/202103/80eb8e2a29536a7bed02...
行走的小小派 2021-03-29 15:52:02 浏览量:238
![](https://IMG_URL_REPLACE/bbsimages/202105/99e6baa011bdd23f2acd3f71a275fb40.jpg) wiringOP 已适配 Orange Pi 开发板,使用 wiringOP 可以测试 GPIO功能,开始测试前,确保已经安装好了 wiringOP: 1、安装 wiringOP 1) 下载 wiringOP 代码 ![](https://IMG_URL_REPLACE/bbsimages/202105/92e70a1f1996889f92f19...
行走的小小派 2021-05-10 14:48:11 浏览量:996
本文介绍了高速DSP系统PCB板特点以及可靠性设计应注意几个问题,包括电源设计、软硬件抗干扰设计、电磁兼容性设计、散热设计以及高速电路重要信号线布线方法,使各项设计更加合理,易于工程实现。 由于微电子技术高速发展,由IC芯片构成数字电子系统朝着规模大、体积小、速度快方向飞速发展,而且发展...
lixiang 2013-07-31 15:52:34 浏览量:665
由于受到无线传输带宽限制, 无人机对地面目标侦察获得高分辨率视频图像必须经过有效压缩才能实时传输给地面接收处理系统。现有视频压缩标准有H.261、H. 262、H . 263 及MPEG-1、MPEG-2、MPEG-4 等。   其中MPEG-4 由于采用基于对象压缩编码方法, 较之以往各标准基于帧压缩编码方法, 其时间和空间...
lixiang 2013-07-25 17:56:14 浏览量:778
本文介绍了高速DSP系统PCB板特点以及可靠性设计应注意几个问题,包括电源设计、软硬件抗干扰设计、电磁兼容性设计、散热设计以及高速电路重要信号线布线方法,使各项设计更加合理,易于工程实现。 由于微电子技术高速发展,由IC芯片构成数字电子系统朝着规模大、体积小、速度快方向飞速发展,而且发展...
qiuqiu 2013-07-19 16:37:56 浏览量:1080
双向管脚控制代码 本文节选自特权同学图书《FPGA设计实战演练(逻辑篇)》 配套例程下载链接:http://pan.baidu.com/s/1pJ5bCtt   对于单向管脚,输入信号或者输出信号,他们控制比较简单,不需要太复杂控制,输入信号可以直接用在各类等式右边用于作为赋值一个因子;而输出信号则...
特权同学 2015-07-01 10:30:32 浏览量:530
语法学习经验之谈 本文节选自特权同学图书《FPGA设计实战演练(逻辑篇)》 配套例程下载链接:http://pan.baidu.com/s/1pJ5bCtt            FPGA器件设计输入有很多种方式,如绘制原理图、编写代码或是调用IP核。早期工程师对原理图设计方式情有独钟,这种输入方式应付简单逻...
特权同学 2015-06-10 12:37:01 浏览量:495
FPGA入门:Verilog/VHDL语法学习经验之谈   本文节选自特权同学图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》 书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt            FPGA/CPLD器件设计输入有很多种方式,如绘制原理图、编写代码或是调用IP核。早期工程...
特权同学 2015-02-11 17:37:03 浏览量:598
IC工艺进步使我们能得到越来越大规模逻辑资源,如何使用这些逻辑资源呢谁有效使用了这些资源,谁应该就能在市场竞争中处于有利地位。当今CPU、FPGA、SOC芯片、ASIC什么功能都可以往上,有两个亮点是最引人注意:1、多核 2、FPGA,他们都能有效把这些资源用起来。 1、多核 有效利用了逻辑资源,目前遇到...
coyoo 2014-05-16 10:17:58 浏览量:737
因为已经过多个PCI-E设计,所以在这个设计时候思想上放松了,没有应有认真和严谨,浪费了1个多月时间和不菲芯片、制版、焊接成本,最后查明罪魁祸首是少放了一只电容。 说来也怪,第一版制板回来以后,两快样板硬件调试非常顺利,于是就信心满满接着去焊接后续板,第二次焊接回来后,发现所有板PCIE都...
coyoo 2014-05-14 09:32:31 浏览量:974