电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
问答
试用
文章
评测
下载
样片
function也是拥内置变量。它内置变量与shell script很类似,函数名称表示$0, 而后续接变量也是以$1,$2...来替代。 代码如下: 修改属性: 运行:
蓝蓝的天 2016-06-30 17:03:46 浏览量:341
setjmp和longjmp实现原理就是对于栈相关寄存器保存与恢复。那么,变量 情况又是什么样呢?对于全局变量和static变量来说,由于它们都不是保存在栈上, 所以在longjmp跳转后,其值不会改变。局部变量情况又如何呢? longjmpman手册给出了如下说明: 当满足以下条件时,局部变量值是不确定: 1.它们...
蓝蓝的天 2016-07-05 13:23:10 浏览量:439
如果我们在一个主程序里面又调用了另一个子程序呢?这是很常见一个程序写法,因为可以 化整个程序易读性。在下面例子当中,我们以thanks.c这个主程序去调用2.c这个子程序, 写法很单! 编写所需要主程序、子程序 进行程序编译与链接: 可以看到生成了2.o 上图生成了thanks.o 由于我们源码文...
蓝蓝的天 2016-07-16 16:54:53 浏览量:442
本帖最后由 蓝蓝天 于 2016-9-22 09:38 编辑 对网络接口进行操作方式十分单。先建立一个socket描述符,然后按照需求 设置需要变量,之后用合适请求命令调用ioctl()函数。ioctl会返回成功或者 失败,需要对ioctl返回值进行确认,否则可能没进行操作。在设置网络IP地址 时候一定要指明其协议族,...
蓝蓝的天 2016-09-22 09:33:23 浏览量:687
本帖最后由 Eagleson 于 2017-1-5 20:45 编辑 根据Cyclone IVE手册,在进行DDR2设计时,fpga管脚分配是要所考虑,而不能随意分配。 首先,对DDR2信号引脚做一下说明。这里以W9751G6KB(8Meg×16bit×4banks)为例说明。 它信号线包括:时钟及控制线:CLK+/-,CKE,RASn,CASn,CSn,WEn;数据控制线:BA0...
Eagleson 2016-12-28 17:03:50 浏览量:6999
fpga为什么是可以编程?恐怕很多人不知道,他们也不想知道。因为他们觉得这是无关紧要。他们潜意识认为可编程嘛,肯定就是像写软件一样啦。软件编程思想根深蒂固,看到Verilog或者VHDL就像看到C语言或者其它软件编程语言一样。一条条读,一条条分析。如果这些人始终拒绝去了解为什么fpga是可以编程,不去了解...
Eagleson 2017-05-08 19:38:13 浏览量:1598
云计算,国外风景独好!亚马逊引以为豪AWS云计算平台在美国市场份额达到45%以上,其次是微软、谷歌、IBM等,这种稳定市场格局,让未来很难公司进入。 国内云计算行业就没那么好了,要复杂多。除了阿里云、腾讯云、金山云等大体量公云公司占据领先地位,同时还存在着一批创业型云服务公司,以及来自互...
顾浩大爷 2017-06-09 14:34:32 浏览量:725
首先非常感谢云汉社区,这是我在云汉第一块得到板子(哈哈,连连看环节从丹神手里实力夺来) 板子资料我就不发啦,相信大家在网上随便搜搜就找得到。 可惜今年《青花瓷》没发挥好,但是我不会气馁,来年再战,!! 板子很不错,淘宝价格100多加上显示屏等不到200吧。 虽然活动得到了板子,但是试用还从来没成...
一个帅气的王子 2017-12-30 18:59:56 浏览量:1415
最近,在国家知识产权局公开专利信息中,魅族屏下指纹识别专利被网友发现,和此前已经曝光那些屏下指纹识别FPC不一样,这一次魅族屏下指纹识别不光能实现指纹解锁,还和导航功能结合在了一起。 根据专利信息显示,魅族在全面屏中依然保留了经典小圆圈设计,也就是mBack功能。日常使用中,Super mBack显示小圆圈...
赣州市深联电路有限公司 2018-03-20 15:16:00 浏览量:1444
在这个吵杂2018年里,我们国产手机在技术方面创新表现也是越来越出色了。特别是在此前CES展会上我们也是能够看到很多我们国产品牌身影,而对于现如今消费者来说话,它们对于手机PCB产品使用需求也是越来越高了,这对于各大手机厂商来说话也是一个不小挑战。 而对于洗牌这个问题来说话,其实也没...
赣州市深联电路有限公司 2018-03-30 15:17:52 浏览量:1202
香橙派Zero2开发板采用全志H616 四核 64位处理器拥512MB/1GB 内存可选,集成千兆以太网卡、蓝牙5.0+双频WiFi(2.4GHz和5GHz)、USB2.0、Micro-HDMI(支持4K显示)、TF卡槽、板载2MB SPI Nor Flash、调试串口等端口,操作系统支持安卓10、Debian、Ubuntu等。 ![](https://IMG_URL_REPLACE/bbsimages/202012/b1f2ae0626fb53...
行走的小小派 2020-12-10 19:55:44 浏览量:1023
说明:此方法在香橙派zero2开发板上测试过没问题,香橙派全志系列开发板,也可以按照同样方法操作,适合需要定制系统,批量烧录系统开发项目。具体操作步骤如下: 第一步,先把环境在linux镜像部署好,用df -h查看下最终镜像大小,然后记住此时rootfs大小(这次只是为了了解镜像需要多大空间) 第二步,然后重...
行走的小小派 2020-12-21 15:40:07 浏览量:692
![](https://IMG_URL_REPLACE/bbsimages/202104/b5659e2f04422de6ddc6450ae02afc3d.jpg) 前面分享过一篇文章,介香橙派R1 Plus开发板在OpenWRT系统上安装软件包两种方法(回顾请点击这里:https://www.icxbk.com/forum/preview/50274) OpenWRT 通过这两种方法方式,可以从软件源中轻松安装数以千计软件包,可以满 ...
行走的小小派 2021-04-02 16:10:40 浏览量:142
![](https://IMG_URL_REPLACE/bbsimages/202104/02c7727b2cf0a4944477a6b280652719.jpg) 注意:不要通过修改/etc/network/interfaces 配置文件方式来连接 WIFI,通过这种 方式连接 WIFI 网络使用会问题! **1. 服务器版镜像通过命令连接 WIFI** 当开发板没连接以太网,只连接了串口时,推荐使用下面演示命令来连 ...
行走的小小派 2021-04-15 20:39:26 浏览量:176
我已经仿真了,现在就想试图分析这个电路输入阻抗运算方法。上面原件都是阻抗,示数请忽略!人能帮在下一下吗?万分感谢啊!!
妖怪 2015-09-26 11:08:48 浏览量:672
开发目:通过单片机控制PN532模块与NFC手机实现点对点通信   软硬件环境说明:     主控芯片 -------- LPC1768 编程环境 -------- Keil4 for ARM  编程语言 -------- C语言 数据类型 -------- 文本 协议规范 -------- LLCP、SNEP、NDEF、RTD 开发前期...
那是我的最爱 2015-08-20 09:28:51 浏览量:625
 中国3G和智能手机,以及便携性数码产品对嵌入式DSP需求在未来几年内将呈现爆炸性增长。此外,一些新型应用,如MPEG4和H.264产品中视频和语音处理、保安监视和指纹识别系统、工业变频器、DSP、视频会议系统、专业音响等,对嵌入式DSP需求也越来越大。市场变化快特点,促进了嵌入式DSP技术发展。   ...
力洪 2013-07-22 10:39:53 浏览量:782
    各位大神好,最近在学习xilinx公司ZYNQ7000系列开发板,学习XADC,用过大神,求给一些学习指导。真不太会用啊!
电气小学渣 2016-05-04 15:25:27 浏览量:1893