电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
问答
试用
文章
评测
下载
样片
概述 本文对Altera器件IOEDDR功能进行单介,DDR寄存器可以在时钟上升沿和下降沿都采集或者发送数据,我们知道利用此特性fpga可以实现DDR SDRAM、DDR2 SDRAM、RLDRAM II、QDR SRAM以及QDRII SRAM等存储器控制,这里笔者不是要涉及这些特性,而是特别介利用IOEDDR寄存器实现某些高速串行...
coyoo 2014-06-09 09:24:55 浏览量:4414
在PCIE相关板卡设计中,需要测试和评估数据链路,完整测试和评估需要价值不菲测试仪器,在实际工作中如果是fpga实现PCIE端点板卡,可以利用fpga灵活性,采用合适制具板完成相关测试工作。在实际工作中我制作了一片PCIE接口测试SMA扩展板为测试提供了硬件基础。 在测试中我们可以利用fpgaTransceivers,编...
coyoo 2014-05-14 09:29:47 浏览量:1054
先看一个资料:在ARM技术论坛专题演说中,EDA供应商Cadence Design Systems旗下Silicon Realization部门资深研发副总裁徐季平(Chi-Ping Hsu),报了半导体工艺从32/28纳米节点过渡到22/20纳米节点工艺技术研发成本增加幅度;他举例指出,如果32/28纳米节点缩需成本是12亿美元,来到22/20纳米节点,该成本规模将增加...
coyoo 2014-05-13 09:05:50 浏览量:586
前面文章介了单个jtag链上挂多个fpga结构中如何应用Virtual jtag进行项目调试,那么调试完成后就要将配置文件固化到配置芯片里,这里配置芯片指EPCS系列,当然可以直接将pof文件(注:由于是一片EPCS芯片配置多个fpga,所以这里pof文件是经过转换pof文件)通过AS模式下载到EPCS芯片里。还一种间接方式就是...
coyoo 2014-02-17 16:01:22 浏览量:6736
对传统电子系统设计方法与现代电子系统设计方法进行了比较,引出了基于EDA技术现场可编程门阵列(fpga)电路,提出现场可编程门阵列(fpga) 是近年来迅速发展大规模可编程专用集成电路(ASIC),在数字系统设计和控制电路中越来越受到重视。介了这种电路基本结构、性能特点、应用领域及 使用中注意事项。对基...
wengqiang 2013-07-31 16:08:38 浏览量:462
在Altera宣布进入采用英特尔14nm三闸极电晶体制程后,赛灵思(Xilinx)也不甘示弱,宣布进入全新产品线进入台积电(TSMC)20nm投片时程,并于今年第四季取得少量样本,明年第一季正式进入量产时程。   然而,同样也是采取台积电20nm制程,Altera旗下Arria10 fpga, 则是在2014年初提供样本贩售,...
qiuqiu 2013-07-22 11:29:52 浏览量:441
fpga电源轨挺多,1V2、1V8、2V5、3V3,而且电流还大,每次选电源都很麻烦 Altera这次收购电源IC公司看来也深刻意识到了这个问题 1.jpg (178.39 KB) 2013-5-16 13:55 。 业界集成度最高电源解决方案可降低功耗,提供最小巧体积并化系统设计Altera公司(NASDAQ: ALTR) 今天宣布,该公司...
xinxin 2013-05-16 13:55:00 浏览量:765
目前,无线产品广泛应用使无线音频和视频高质量传输成为可能。蓝牙、无限局域网等无线传输设备比较复杂,成本较高,急需 开发一种便、仅用于流媒体无线传输平台,将音频数据实时地发送到移动终端。由于音频数据实时性,不宜采用反馈重传等 造成很大时延差错控制方式。前向纠错码(FEC)码字是具一定纠错...
0101 2013-04-24 16:07:00 浏览量:647
我用特权同学CPLD做串口自收发程序,不能向其发送连续两个字符,只能一次发送一个。如果发送两个,则后面字符会丢掉。例如,我发送1可以,发送11就乱码了,同样a可以,aa就乱码了。这是为什么啊? 还,用fpga串口和用CPLD做串口什么不同?fpga做串口是用了FIFO缓冲器,这什么影响?
秀文 2013-03-20 10:16:00 浏览量:1208
前言  客户在 STM32F401RET6 中使用到了 USB 虚拟串口功能。要求提供一个在 STM32Cube 中实现虚拟串口进行数据环回测试功能范例程序。因为在我们目前所提供范例程序中,并没适合客户需求范例,所以我们在 STM32CubeMX 中创建一个范例程序给客户进行参考。  环境需求如下:  硬件环境:STM32F401C-Dicover...
冰山一角 2015-12-17 17:38:02 浏览量:1409
STM32L系列单片机内部提供了EEPROM存储区域,但实质上,其FLASH也是EEPROM类型,只不过一块区域被开放出来专门用作EEPROM操作而已。STM32LEEPROM使用寿命设计为100000次擦写以上,容量为2K-4K,这对于一般设备参数存储来说是非常理想。但从EEPROM使用方式看,其不适用于被反复修改数据存储使用,一般作为配置参数...
采蘑菇的小菇凉 2015-11-12 15:54:48 浏览量:680
首先我要声明这是我个人在编译过程中所遇到错误,如果你们在这个过程中什么疑问话可以跟帖说明 首先第一章讲是Linux编译过程 首先将厂商提供lichee.tar.gz 文件通过虚拟机拷贝到ubuntu12.04 系统工作目录下,可以通过虚拟机共享文件夹方式或者Samba 方式进行文件拷贝,然后解压该文件,使用...
khuku 2015-09-23 11:03:35 浏览量:825
fpga与CPLD概念及其区别 关键词: fpga , CPLD 一、fpga与CPLD基本概念  1.CPLD  CPLD主要是由可编程逻辑宏单元(LMC,Logic Macro Cell)围绕中心可编程互连矩阵单元组成,其中LMC逻辑结构较复杂,并具复杂I/O单元互连结构,可由用户根据需要生成特定电路结构,完成一定功能。由于 CPLD内部采用固...
嵌入式应用 2015-09-02 16:04:08 浏览量:519
如何让虚拟机中linux上网 如果说,我们计算机在一个局域网里,这个局域网一个路由器作为网关,那么我们虚拟机中linux上网就变非常单了,只需要配置ip为局域网中一个可用ip,网关为局域网中网关(就是路由器ip地址),DNS如果不知道就设置为谷歌免费DNS:8.8.8.8,子网掩码255.255.255.0。做完这...
xidianzxc 2014-11-11 01:03:47 浏览量:1055
    现在开始发送第三波学习贴,在前一节我已经新建好了一个Linux虚拟机,这一节我将使用在网上下载下来Red Hat Enterprise Linux 5镜像文件来安装Linux。。       Linux发展到今天,我个人认为已经是一个很成熟操作系统。最大缺陷是支持他应用比较少。作为一种开源软件,已经不错了。开源精神让我...
陌路绝途 2014-08-03 09:31:30 浏览量:1255
准备工作: 1)   硬盘空间5G以上; 2)   内存容量256M以上;(这个一般电脑都最起码1G) 接下来开始安装工作: 一:具体安装及基础设置步骤 1.新建一个虚拟机工作界面: 虚拟界面: 点击创建新虚拟机: 进...
陌路绝途 2014-07-31 14:35:25 浏览量:1493
虚拟化正处在业界讨论风口浪尖,它兴起使得众多存储厂商争相上马虚拟化技术。然而,尽管所人都同意存储虚拟化在化存储管理和降低存储资产管理成本方面具巨大潜力,但市场中仍然存在一些对虚拟误解。     虚拟化太复杂     早期基于交换机和网络存储虚拟化要求重新将异构LUN(...
qiuqiu 2013-07-19 16:26:36 浏览量:490
由于现场实时测量需要,机器视觉技术越来越多地借助硬件来完成,如DSP芯片、专用图像信号处理卡等。但是,DSP做图像处理也面临着由于数据存储与处理量大,导致处理速度较慢,系统实时性较差问题。本文将fpgaIP核内置缓存模块和乒乓读写结构相结合,实现了图像数据缓存与提取,节省了存储芯片所占用片上空间,并且...
easy 2015-08-12 10:35:29 浏览量:1448
串行接口常用于芯片至芯片和电路板至电路板之间数据传输。随着系统带宽不断增加至多吉比特范围,并行接口已经被高速串行链接,或SERDES (串化器/ 解串器)所取代。起初, SERDES 是独立ASSP 或ASIC 器件。在过去几年中已经看到内置SERDES fpga 器件系列,但多见于高端fpga芯片中,而且价格昂贵。 本方案是以...
Kiteyi 2015-02-03 11:04:56 浏览量:674