• 已解决 73482 个问题
  • 已帮助 5993 位优秀工程师

verilog程序仿真的问题

蓝蓝的天 2018-03-28 浏览量:1016

我正在学FPGA,正在看夏宇闻老师的verilog书。

书中第四章讲运算符。

我照着写了个程序,如下:

module t4_1(out,a);
input a;
output out;
reg[3:0] start,result;
initial
begin;
start=1;
result=(start<<2);
end
endmodule
本来书上不是这样,没有参数。可是通不过编译,我就加了输入a和输出out。

这样倒是通过编译了,可是我想仿真看看start,result的值,可是不成功,运行

仿真,我加的两个数都不变。

我是想像C语言那样学verilog,写一段程序,仿真一下,看看结果。

请问高手,向类似的程序怎么仿真啊?谢谢!

1.png
0 0 收起

我来回答

上传资料:
选择文件 文件大小不超过15M(格式支持:doc、ppt、xls、pdf、zip、rar、txt)
最佳答案
  • 仿真的话最好将设计文件与测试文件分开,写两个.V文件

    把仿真代码写在测试文件里,在测试文件里例化设计文件里的模块

    你写的那个程序应该是测试文件里的,是没有参数的,像什么初始化这种是不能综合成电路的,所以只能在仿真的时候用。

    而且初始化块本来就只执行一次

    最后,最后不要用C的思路去写Verilog,两者还是有很大差别的

    • 发布于 2018-03-28
    • 举报
    • 评论 0
    • 0
    • 0

其他答案 数量:2
  • 你这个程序没有时钟驱动怎么可能运行呢,不要拿C语言的思想来编写硬件语言
    • 发布于2018-03-28
    • 举报
    • 评论 1
    • 0
    • 0
电子老工程师 回复了  : 回复

  • 仿真建议还是使用modelsim  可以综合的代码里面是无法使用initial的
    • 发布于2018-03-29
    • 举报
    • 评论 1
    • 0
    • 0
电子老工程师 回复了  :客户就是要询价,型号是AC78012FCLI ,还要问这个型号配套的 一套开发套件的报价。具体询价请联系采购同事吧 回复

相关问题

问题达人换一批

verilog程序仿真的问题