• 已解决 73482 个问题
  • 已帮助 5993 位优秀工程师

分频器仿真结果不对

蓝蓝的天 2018-04-18 浏览量:1179

我想仿真一个分频系数为12的分频器。

程序如下:

module div12(fm,clk);
output fm;
input clk;
reg fm;
reg[2:0] cnt=3'b000;
always @(posedge clk)
begin
if(cnt==3'b101)
begin fm <= ~fm;cnt<=0;end
else
begin cnt<=cnt+1;end
end
endmodule
testbench文件为:

`timescale 1ns/1ns
module div12_testbench;
wire fm=0;
reg clk=0;
div12 div12(fm,clk);
always 
#10 clk=~clk;
endmodule
仿真结果为:

1.png

fm为什么是一条红线。没有波形。请高手执教,谢谢!

0 0 收起

我来回答

上传资料:
选择文件 文件大小不超过15M(格式支持:doc、ppt、xls、pdf、zip、rar、txt)
最佳答案
  • run -all 

    在波形框 右键 zoom full 

    而且你的fm没有赋初值,没有初值且一直不给他赋值的话他就一直是红的,一个不定态的值再怎么取反也还是不定态

    解决办法:加一个复位信号输入,在复位时赋个初值

    • 发布于 2018-04-19
    • 举报
    • 评论 0
    • 0
    • 0

其他答案 数量:5
  • 你现在输入的波形才显示10个,12分频的根本就不会显示啊

    你要增加观察窗观察范围才可能看到fm的变化啊。

    • 发布于2018-04-18
    • 举报
    • 评论 0
    • 0
    • 0

  • 分频是要测到一个周期后进行的分频,看你的波形好像没有一个周期吧。
    • 发布于2018-04-18
    • 举报
    • 评论 0
    • 0
    • 0

  • testbench 里面 不应该 fm赋值 因为它是输出,所以不能 wire fm = 0
    • 发布于2018-04-24
    • 举报
    • 评论 0
    • 0
    • 0

相关问题

问题达人换一批

分频器仿真结果不对