• 已解决 73482 个问题
  • 已帮助 5993 位优秀工程师

verilog中$dumpfile和$dumpvar的用法

zwfticker 2018-05-17 浏览量:1710
verilog中写testbenchj时,$dumpfile和$dumpvar的详细用法。
0 0 收起

我来回答

上传资料:
选择文件 文件大小不超过15M(格式支持:doc、ppt、xls、pdf、zip、rar、txt)
最佳答案
  • $dumpfile和$dumpvar是verilog语言中的两个系统任务,可以调用这两个系统任务来创建和将指定信息导入VCD文件.


    一般是配合verdi等软件使用的,用来观察仿真波形的

    • 发布于 2018-06-11
    • 举报
    • 评论 0
    • 0
    • 0

其他答案 数量:0

相关问题

问题达人换一批

verilog中$dumpfile和$dumpvar的用法