• 已解决 73482 个问题
  • 已帮助 5993 位优秀工程师

fpga实现串口

無唁苡對 2018-10-24 浏览量:827

我每次通过串口线给这个串口接收模块发送20个8位数据,间隔100ms发送一次。但是中间会有一些数据接收错误,然后之后就又正确了,这是哪儿的问题???

360桌面截图20181024155453.jpg

                        360桌面截图20181024155518.jpg

0 0 收起

我来回答

上传资料:
选择文件 文件大小不超过15M(格式支持:doc、ppt、xls、pdf、zip、rar、txt)
最佳答案
  • 看看错误的数据,有没有什么规律,

    通过波形看看协议对不对,波特率稳不稳定

    • 发布于 2018-10-24
    • 举报
    • 评论 1
    • 0
    • 0
無唁苡對 回复了 汉云:波特率对 回复

其他答案 数量:5
無唁苡對 回复了 我对面的武器 :换了,还是不对 回复

  • 加个中断检查看看呢
    • 发布于2018-10-25
    • 举报
    • 评论 0
    • 0
    • 0

  • 你能单步调试错误发生的时机和相应的数据吗?串口接收错误需要根据实际情况具体分析。

    • 发布于2018-10-28
    • 举报
    • 评论 0
    • 0
    • 0

  • 这种最好先软件仿真,看一下波形,然后再上实物调试
    • 发布于2018-10-30
    • 举报
    • 评论 0
    • 0
    • 0

  • 这个要用调试器仿真一下,有可能是数据的问题
    • 发布于2018-11-23
    • 举报
    • 评论 0
    • 0
    • 0

相关问题

问题达人换一批

fpga实现串口