• 已解决 73482 个问题
  • 已帮助 5993 位优秀工程师

verilog FPGA字符串表示

1061368165@qq.com 2017-11-09 浏览量:2465

感觉是字符串表示有错,verilog这样表示字符串不行吗?

V)X567`7_1]BJ0TF~HX$G%F.png


7APZV@CLTSXM2KPK@2LM3ZG.png


ERROR - F:/FPGA_project/smart_alarm/wifi_control.v(95,20-95,21) (VERI-1137) syntax error near '
ERROR - F:/FPGA_project/smart_alarm/wifi_control.v(95,22-95,23) (VERI-1137) syntax error near '
ERROR - F:/FPGA_project/smart_alarm/wifi_control.v(96,6-96,10) (VERI-1137) syntax error near else
ERROR - F:/FPGA_project/smart_alarm/wifi_control.v(98,4-98,5) (VERI-1137) syntax error near 5
ERROR - F:/FPGA_project/smart_alarm/wifi_control.v(101,4-101,5) (VERI-1137) syntax error near 5

0 0 收起

我来回答

上传资料:
选择文件 文件大小不超过15M(格式支持:doc、ppt、xls、pdf、zip、rar、txt)
最佳答案
  • reg [1 : 8*14] Message;
    . . . 
    Message = "INTERNAL ERROR"

    先做类似上面的是声明定义,下面直接使用Message,不建议直接使用'R'这种方式

    • 发布于 2017-11-10
    • 举报
    • 评论 3
    • 0
    • 0
day_day 回复了 Eagleson:就是说rx_int这个reg [0:7]要跟同样的reg [0:7]比较,而非直接=='r'?我暂时把字符转换成16进制操作。 回复
Eagleson 回复了 day_day:好的 回复
电子老工程师 回复了 :极性点,看附件图片 回复

其他答案 数量:3
  • 用8位ASCII值表示的字符可看作是无符号整数。因此字符串是8位ASCII值的序列。为存储字符串“INTERNAL ERROR”,变量需要8*14位。

    reg [1 : 8*14] Message;
    . . . 
    Message = "INTERNAL ERROR"
    反斜线 (\ ) 用于对确定的特殊字符转义。
    换行符
    \t 制表符
    \\ 字符\本身
    \" 字符"
    \206 八进制数206对应的字符 
    • 发布于2017-11-10
    • 举报
    • 评论 1
    • 0
    • 0
电子老工程师 回复了  :R包装数1500, 回复

  • 你的位宽一样么,还是多贴出来点程序看看吧
    • 发布于2017-11-10
    • 举报
    • 评论 1
    • 0
    • 0
电子老工程师 回复了  :https://download.datasheets.com/pdfs2/2019/3/15/21/7/8/114514/lum_/manual/0331.pdf 回复

相关问题

问题达人换一批

verilog FPGA字符串表示