• 已解决 73482 个问题
  • 已帮助 5993 位优秀工程师

关于vhdl的问题 ?

qiuqiu 2015-07-09 浏览量:858

   关于vhdl的问题:process(a, b, c) begin… end process; 如果abc同时改变, 该进程是否同时执行三次?

0 0 收起

我来回答

上传资料:
选择文件 文件大小不超过15M(格式支持:doc、ppt、xls、pdf、zip、rar、txt)
最佳答案
  • PROCESS STATEMENTS 中的执行跟逻辑有关系,  假如是同步逻辑,  则在每次时钟的触发沿根据A, B, C的条件来执行一次;假如是异步逻辑,  则根据判断A、B、C的条件来执行. 一般我们都推荐使用同步逻辑设计
    • 发布于 2015-07-09
    • 举报
    • 评论 0
    • 0
    • 0

其他答案 数量:3
  • PROCESS STATEMENTS 中的执行跟逻辑有关系,  假如是同步逻辑,  则在每次时钟的触发沿根据A, B, C的条件来执行一次;假如是异步逻辑,  则根据判断A、B、C的条件来执行. 一般我们都推荐使用同步逻辑设计
    • 发布于2015-07-09
    • 举报
    • 评论 0
    • 0
    • 0

  • process中的参数是只要又改变就执行
    • 发布于2015-07-11
    • 举报
    • 评论 0
    • 0
    • 0

  • process里的敏感信号是作为触发条件的
    无论A B还是C,只要发生变化了,那么process内部的所有阻塞和非阻塞语句都会执行
    E虽然不在敏感信号列表里,但D <= E or B;也是会执行的
    • 发布于2015-07-13
    • 举报
    • 评论 0
    • 0
    • 0

相关问题

问题达人换一批

关于vhdl的问题 ?