modelsim仿真库编译.pdf

  • 浏览量:681
  • 下载量:11
  • 资料大小:1.98 MB
  • 日期:2015-04-19
  • 上传者:jzc_guet
  • 分享
  • 评论
  • 举报
  • 收藏

资料描述

    、1、找到需要编译的仿真库sim_lib








    图1


    在quartus ii软件目录下quartus/eda/sim_lib找到需要编译的仿真库,并把该sim_lib复制到其他文件下进行modelsim仿真库编译(尽量不在quartus软件安装目录下进行,避免modelsim生成一些其他文件留在quartus软件安装目录)。



    2、改变modelsim路径






    图2


    如图2所示,把modelsim路径修改为指向sim_lib文件夹。



    3、modelsim新建仿真库





     


     


    图3





    图4


    如图3和图4所示,在modelsim建立sim_lib仿真库。


     


     


     





    好吧,图都乱了,实在不会排版了。大家参考这些图片,然后我再传一份正式文档供参考吧。






    ,id:不足论,为我其他论坛的一个账号。看了这个文档,modelsim编译库就很简单了。





     


     







     


     


     
评论(0)

登录后可评论,请 登录注册

   
相关资料
换一换