clk_change.rar

  • 浏览量:1790
  • 下载量:53
  • 资料大小:158.67 KB
  • 日期:2014-09-09
  • 上传者:Eagleson
  • 分享
  • 评论
  • 举报
  • 收藏

资料描述

    debussy是一套很好的verilog/vhdl调试工具,可以帮助设计者快速理解复杂的设计,查找和定位设计中存在的问题,提高效率
    同时,利用debussy软件还可以很方便的查看仿真波形,比如说可以查看由hsim仿真产生的fsdb文件
    先给个debussy软件的安装说明,感兴趣的可以下来看看


    debussy安装步骤_百度文库
    http://wenku.baidu.com/link?url=j1k_4xjq9tbikzgm4e0f-fs5zuxdot4jpszuqrwyxzkxp6iod_mi17yyctc8tnsg0_60nprqwhnelqdf2hrbxv-l_llvtnpohbwdhupsbau



    此次仍然是基于上次的工程上的修改,接下来是详细的步骤了。


    将d: novasdebussyshareplimodelsim_fli54winntnovas_fli.dll和novas.vhd复制到当前文件夹下








    修改sim.do文件和modelsim.bat文件








    -c 是关掉了modelsim的界面显示 


    我们这里需要编译novas.vhd文件是由于


    vhdl fli (foreign language interface)和verilog pli
    (programming language interface)不同的地方在于,当你自己提供由c写的function给simulator使用的时候,verilog pli会自己到所提供的dll去找是否有此function,但vhdl fli需要自己去提供mapping的动作,告訴simulator哪一個function对应dll内哪一个function,novas.vhd就是提供这个mapping的角色。


    修改tb文件




    新建一个deb.bat文件



    先运行modesim.bat文件可以看到



    我们可以在文件目录下看到



    在运行 deb.bat




    手动添加我们要观察的波形



    放大我们要观察的位置







    至此基于debussy的仿真步骤就完了。


    debussy的优点:


    快速查看文档结构


    快速查看工程fsm


    快速跟踪信号


      缺点:


    debussy早已不在wind
评论(0)

登录后可评论,请 登录注册

   
相关资料
换一换