clk_change.rar

  • 浏览量:6683
  • 下载量:4
  • 资料大小:1.29 KB
  • 日期:2014-08-14
  • 上传者:Eagleson
  • 分享
  • 评论
  • 举报
  • 收藏

资料描述

    操作系统:win7 


    软件版本:modelsim6.5se 


    本文只针对初学者,让他们少走点弯路,modelsim 软件本身感觉还是比较难以使用的。本


    人才疏学浅,但有颗助人的心。大神请跳过,不要鄙视我。 


    如有错误,请不吝指点。


    网上的关于do文件的编写好像资料不多,比较杂,所以本人总结一下常用的简单语法,方便大家查看。其实本人也刚接触do文件没多久,有纰漏很正常,欢迎指正批评,互相学习。ps:写得有点乱


    一.do文件的简介和工作方式




    do文件是一次执行多条命令的脚本。这个脚本可以像带有相关参数的一系列modelsim命令一样简单,或者是带有变量,执行条件等等的tcl程序。可在gui里或系统命令提示符后执行do文件。


    由于tcl脚本语言内容很多,本人是刚学不久,菜鸟一个。但是针对我们这门课程的话,有些基本常用的语法还是值得提一下的,方便大家一起学习交流,如果以下内容有什么写错了,希望大家提出并批评,互相进步。


    首先,我们如何建立do文件呢?


    方法挺多,一种是可以打开modelsim,执行file/new/source/do命令,进入do文件编辑方式,在编辑窗口输入仿真批处理文件的代码,以.do为扩展名保存文件。当然也可以在windows系统中新建一个记事本,在“另存为”的时候写上.do的后缀名,也是一种方法。调用方式是在modelsim的transcript窗口中使用指令:do filename.do,完成对设计的自动化仿真。


     


    下面简单讲讲仿真的步骤。首先我们要对一个设计进行仿真呢,我们一般需要进行以下几个步骤:


    ①创建一个工程和工程库;


    ②加载设计文件(包括你编写好的testbench);


    ③编译源文件;


    ④运行仿真,并查看结果;


    ⑤最后进行工程调试。


             而do文件,就是把上述的步骤①---④用tcl脚本语言来编写出来,让modelsim来运行该do文件宏命令,并自动执行仿真的步骤。这种好处也许在小设计中没怎么表现,但是如果在一个大的工程中,常常需要对一个设计单元进行反复的调试和仿真,但是仿真时的设置是不变的,这时如果使用了do文件,把仿真中使用到的命令都保存下来了,就可以节省大量的人力,提高了工作效率。


评论(0)

登录后可评论,请 登录注册

   
相关资料
换一换