关于CPLD串口的问题,谁能帮我看下为什么

  • 秀文
  • LV3工程师
  • |      2013-03-20 10:16:00
  • 浏览量 1209
  • 回复:9
我用特权同学的CPLD做的串口自收发程序,不能向其发送连续的两个字符,只能一次发送一个。如果发送两个,则后面的字符会丢掉。例如,我发送1可以,发送11就乱码了,同样a可以,aa就乱码了。这是为什么啊? 还有,用FPGA做的串口和用CPLD做的串口有什么不同?FPGA做串口是用了FIFO缓冲器,这有什么影响?
  • 0
  • 收藏
  • 举报
  • 分享
我来回复

登录后可评论,请 登录注册

所有回答 数量:6
liudd 2013-03-29
顶层例化模块我就不贴了,没多大意义。这个程序里的波特率设置模块被调用了两次(接收和发送)。接收和发送都差不多,都是低电平来时,启动波特率时钟,开始读取数据,1位起始位,8位数据位,一位校验位,一位停止位,总共11位。在用串口调试助手时出现了我在一楼说的问题。后来我将程序里的校验位去掉,改成10位的数据,然后在串口调试助手中将校验位设置成无,还是会出现一样的问题。
0   回复
举报
发布
juhhui 2013-03-24
还是把你代码贴出来或给出你对串口代码
设汁思路!
0   回复
举报
发布
zhouzheng 2013-03-21
没人回答!
0   回复
举报
发布
hongni 2013-03-21
没人回答!
0   回复
举报
发布
11 2013-03-20
估计串口程序设计不合理!
0   回复
举报
发布
11 2013-03-20
估计串口程序设计不合理!
0   回复
举报
发布
x
收藏成功!点击 我的收藏 查看收藏的全部帖子