碰到一个奇葩问题,ARDUINO无法输出高电平

  • GBL阿尔塞斯
  • LV3工程师
  • |      2015-10-22 07:41:24
  • 浏览量 3291
  • 回复:8

碰到一个奇葩问题,ARDUINO无法输出高电平

做的PROJECT有一个小地方一直不成功,试了好久后备份一次,代码全删重写一个简单的启动LED灯的代码,但是发现居然灯居然不亮,肯定不是电阻太大的原因 因为D2上面那个蓝色小正方形都是蓝的,根本没有高电平输出 很奇怪


这个是PROTEUS的BUG吗?

而且有一次,我的程序还没有开始仿真,电路里面的几个LCD灯就亮了! 一开始仿真那灯才灭,真是莫名其妙

  • 0
  • 收藏
  • 举报
  • 分享
我来回复

登录后可评论,请 登录注册

所有回答 数量:10
WalterLiu 2015-10-23
有可能这个引脚是真开漏,就像STM8里面的引脚一样,这样子就必须外加上拉电阻。不然就不能输出高电平。这是我最近调试STM8的小心得,看看能不能用上
0   回复
举报
发布
shaoziyang 2015-10-22
proteus是有一些bug的,这点没有关系,本来它就是为了实现简单的仿真和验证,而不是完全取代硬件。
0   回复
举报
发布
GBL阿尔塞斯 2015-10-22

我把所有注释都删了,程序就能运行了。。 好奇怪

然后我又把注释全部加回来,还是能运行 老是碰到怪事


而且电脑关机以后第二天看,以前不能运行的程序(原因未知,逻辑上肯定能运行)  就都能运行了 。。



最后再问一下,做开发的时候实际电路正常运行,仿真不运行的情况正常吗


0   回复
举报
发布
君子好“球” 2015-10-22
IO口对应上没有。小心犯低级错误啊!
0   回复
举报
发布
fjjjnk1234 2015-10-22
完整的原理图发上来看看,会不会是你设置的Arduino引脚跟ATmega328p单片机接LED的引脚没对应上,检查一下
0   回复
举报
发布
GBL阿尔塞斯 2015-10-22

这个不用硬件啊,就两行的代码 理论上肯定能亮的嘛

我重新建立一个工程,照着之前的工程打程序就可以了,很诡异。。。。

0   回复
举报
发布
君子好“球” 2015-10-22
那你就直接硬件测试一下,如果可以的话就不要再相信软件了。
0   回复
举报
发布
GBL阿尔塞斯 2015-10-22
直接接VCC当然就可以,这个简单的程序就2行,为什么就不能点亮LED灯呢
0   回复
举报
发布
GBL阿尔塞斯 2015-10-22




上面的图,是仿真还没开始的时候,上面那个黄灯就亮了! 而且我的LM016L外面那个边框不见了!

一旦开始仿真才正常 这是怎么回事?



还有一次,我做了一个显示屏的程序,但是仿真就是不工作,显示屏一片白,我检查了几天都没办法,就试试看连实物图,结果实物能正常工作,反而仿真不能,到底是怎么回事呢?

0   回复
举报
发布
fjjjnk1234 2015-10-22

仿真有可能出现奇奇怪怪的问题,毕竟仿真软件也不是各方面都做得很全面的;出现问题,很难确定是程序不正确还是软件存在问题,所以对于单片机这种程序,建议能够直接测试还是直接测试吧。

但是,对于用matlab做的那种算法仿真,或者电路的仿真,这种仿真就比较有意义了。

0   回复
举报
发布
x
收藏成功!点击 我的收藏 查看收藏的全部帖子