Zedboard学习——流水灯设计一(新建Vivado工程)

  • xidianzj
  • LV0工程师
  • |      2015-01-14 09:00:57
  • 浏览量 4446
  • 回复:2

Vivado+Zedboard之流水灯

大家期待已久的流水灯设计来了~由于篇幅较长~我将分多篇帖子给大家详细介绍~大家敬请期待~

环境  Vivado 2014.2

开发板Zedboard version xc7z020clg484-1

实验  使用Vivado进行Zedboard开发,制作一个简单的流水灯程序以说明软硬件协同设计的方法、开发流程等。

本文将分为三个部分:

1. 使用Vivado创建一个工程,并构建一个Zynq嵌入式处理系统

2. 在上述基础上,将完成后的硬件导入到SDK中进行软件设计。

3. 最后下载到ZedBoard上进行调试。

1. 使用Vivado创建工程

1.1新建工程

1.鼠标左键双击Vivado 2014.2图标,打开Vivado 2014.2,出现如下界面:

Zedboard学习——流水灯设计一(新建Vivado工程)

2.单击Create New Project创建一个新的工程:

Zedboard学习——流水灯设计一(新建Vivado工程)

3.单击Next执行下一步;

 Zedboard学习——流水灯设计一(新建Vivado工程)

 

4.选择工程所在的位置,并输入工程名test_led,单击Next


       Zedboard学习——流水灯设计一(新建Vivado工程)

 

5.在Vivado中新建一个RTL工程,暂不添加文件,单击Next


      Zedboard学习——流水灯设计一(新建Vivado工程)

 

6. 单击Next执行下一步;

      Zedboard学习——流水灯设计一(新建Vivado工程)

 

7. 单击Next执行下一步;

       Zedboard学习——流水灯设计一(新建Vivado工程)

 

8. 单击Next执行下一步;

       Zedboard学习——流水灯设计一(新建Vivado工程)

9.这一步要注意,在左上角Specify处选择Boards,选择ZedBoard Zynq Evaluation and Development Kit version D器件,单击Next

       Zedboard学习——流水灯设计一(新建Vivado工程)

10.单击Finish

       Zedboard学习——流水灯设计一(新建Vivado工程)

11.等待软件根据设定新建一个RTL工程。

     这样新建工程的步骤就完成了,下面进行硬件设计步骤~



  • 0
  • 收藏
  • 举报
  • 分享
我来回复

登录后可评论,请 登录注册

所有回答 数量:2
122240045 2016-03-09
假如要在petalinux上跑呢?
0   回复
举报
发布
灵澈 2015-01-14
流水灯好经典,不错加油
0   回复
举报
发布
x
收藏成功!点击 我的收藏 查看收藏的全部帖子