头像-11782

江湖渔民

  • 辽宁省大连市
  • 单片机 嵌入式 FPGA/CPLD EMC/EMI EDA/PCB
  • 设计,制造及服务

个人成就

获得 1 次赞

帮助过0人

一个普通的PCB控制板要增加什么元器件才可...

是做智能家居吗?不太了解,不过据说用wifi控制方案成本较高,不如用蓝牙4.0.。。

厉害!

都用5.0了啊!我主要用的3.8和4.7,5.0太高大上了,得等我熟练点了再说。。。论坛好像不能传图上去,就是这个了!

非常感谢你的支持,不过最后猜测可能是J-link仿真器存在某种Bug,在仿真器坏过一次又整好后,就能进主函数了(这个真是意外吧!) 不过我对.s文件一直都停留在“知道有这么个文件,就是不知道它都干了什么的状...

嗯嗯,先谢谢啦!我再检查一下吧。。。从来没想过居然不能进入主函数的问题出现。。。

编译的时候没有错误、警告的,我也能下载进去,就是没反应的!通过jlink调试就是死在启动文件里了,又找来别人的例程就没这问题,编译软件和仿真器应该是没有问题的。

下面是我的工程,大侠要不看下。。。

这是我的工程文件,各位大侠,先谢谢了

这是主函数,我是用中断来进行AD调用和FSMC调用的,也没啥非法的函数啊!

Verilog的135个经典设计实例

刚学verilog,正好缺乏实例啊。。。。