头像-339438

9da3350cd5a3b086

个人成就

获得 0 次赞

帮助过0人

基于DSP-BUILDER的16阶FIR滤波器仿真问题

用DSP-BUILDER在SIMUlink中生成模块(只加输入和输出的24位ALTBUS,中间无任何器件模块,仅为了测试MODELSIM仿真),然后用SIGNAL COMPILER输出VHDL文件,打开MODELSIM将其编译,然后用VERILOG写了TESTBENCH,但运行前仿真时,输出无信号(为红线U)。