电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
论坛
问答
试用
文章
评测
样片
需要光立方资料的朋友,为了方便我就直接放这里了,需要的自取. 制作需要一定的电子基础,和相当的动手实践能力以及毅力,做的事情并不难,需要的更多耐心,如果连最基本的二极管三极管怎么用都不懂那我劝你还多学习一下基础知识再做吧,不然非常吃力. 建议制作立方之前把电路研究透彻再动手,不要求把原理...
我们都一样 2016-06-01 21:40:19 浏览量:1453
参考设计描述 博通bcm20736设备在世界上最微小的蓝牙低功耗模块之一。48引脚lga封装,可以采用典型的回流焊工艺。该芯片内部集成无线充电支持,此符合联盟的无线电力(a4wp)标准,这为iot创新设计打开了大门。此外,bcm20736的高度集成的设计和更小尺寸能够降低功耗,延长穿戴式设备电池寿命。 broadcom新的wiced智能芯...
chen0000009 2016-06-12 10:17:42 浏览量:602
一直没有时间看完这份资料,独乐乐不如众乐乐,相信会对大家有帮助的。 不错的资料喔。 以下摘录片段 “ 我目前在程序中使用的方法,把所有要用到的常量,全部做成全局变量。全局变量可以用 global ,但我喜欢用 vi 全局变量。就把变量记载shift regisiter 中。然后,用一个初始化的vi 负责在程序运行开始时初...
smartCode 2016-07-23 18:04:18 浏览量:1381
参考设计描述 时钟发生器用于减少一个时序信号的电子电路,该时序信号用于同步数据和避免在信号传播过程中的延迟。nb3x6x1xxg8dfnevkomniclock产品线中的一个评估工具,这一个用户友好的设备,内部集成一个安森美设计的用户通用可编程时钟发生器。这些设备一次性编程(otp),基于低功耗pll的时钟发生器;它能够接受高...
chen0000009 2016-07-28 22:59:47 浏览量:477
参考设计描述 美信max14827评估套件一个基于max14827 io-link设备无线收发器的全面集成测试电路板。它可以单独一个板子使用或者通过一个mbed板子进行简单软件评估。全部的电源供应和自动调节器输入输出引脚都连接到实用的连接器用于快捷测试。模拟输入和输出引脚也为模拟测试的提供了十分方便的连接方式。此设计具有十...
chen0000009 2016-08-18 16:10:58 浏览量:440
本帖最后由 静水竹林 于 2016-9-22 17:05 编辑 neo没有hdmi接口,只能通过ssh登录,要登录ssh就需要知道ip,第一次的话我们只能通过路由来看ip。 如果ip变了,还要上路由看。或者,设成静态路由。不过有办法能够开机显示ip的话,还在意这些细节干嘛呢? 我之前的帖子用neo驱动了max7219点阵,这次花样玩法,用max7219...
bluebanboom 2016-08-27 19:37:26 浏览量:2165
时间过得飞快,转眼拿到此板已接近一个月。首先还很感谢云汉社区给我这个机会,信任我,,今天偶然在群聊中看到大家说,需要有怎么长的时间和怎么高的水平才能申请成功。 而我。。只听了别人的建议,过来申请试试(纯新号)没有什么社区记录,在庆幸之余还更多的感动。 四旋翼,项目还有很长很长,但mm32f103...
qq1328512480 2016-11-27 18:55:43 浏览量:1586
时间过得飞快,转眼拿到此板已接近一个月。首先还很感谢云汉社区给我这个机会,信任我,,今天偶然在群聊中看到大家说,需要有怎么长的时间和怎么高的水平才能申请成功。 而我。。只听了别人的建议,过来申请试试(纯新号)没有什么社区记录,在庆幸之余还更多的感动。 四旋翼,项目还有很长很长,但mm32f103...
qq1328512480 2016-11-27 18:55:43 浏览量:1554
时间过得飞快,转眼拿到此板已接近一个月。首先还很感谢云汉社区给我这个机会,信任我,,今天偶然在群聊中看到大家说,需要有怎么长的时间和怎么高的水平才能申请成功。 而我。。只听了别人的建议,过来申请试试(纯新号)没有什么社区记录,在庆幸之余还更多的感动。 四旋翼,项目还有很长很长,但mm32f103...
qq1328512480 2016-11-27 18:55:43 浏览量:1631
时间过得飞快,转眼拿到此板已接近一个月。首先还很感谢云汉社区给我这个机会,信任我,,今天偶然在群聊中看到大家说,需要有怎么长的时间和怎么高的水平才能申请成功。 而我。。只听了别人的建议,过来申请试试(纯新号)没有什么社区记录,在庆幸之余还更多的感动。 四旋翼,项目还有很长很长,但mm32f103...
qq1328512480 2016-11-27 18:55:43 浏览量:1614
时间过得飞快,转眼拿到此板已接近一个月。首先还很感谢云汉社区给我这个机会,信任我,,今天偶然在群聊中看到大家说,需要有怎么长的时间和怎么高的水平才能申请成功。 而我。。只听了别人的建议,过来申请试试(纯新号)没有什么社区记录,在庆幸之余还更多的感动。 四旋翼,项目还有很长很长,但mm32f103...
qq1328512480 2016-11-27 18:55:43 浏览量:1623
时间过得飞快,转眼拿到此板已接近一个月。首先还很感谢云汉社区给我这个机会,信任我,,今天偶然在群聊中看到大家说,需要有怎么长的时间和怎么高的水平才能申请成功。 而我。。只听了别人的建议,过来申请试试(纯新号)没有什么社区记录,在庆幸之余还更多的感动。 四旋翼,项目还有很长很长,但mm32f103...
qq1328512480 2016-11-27 18:55:43 浏览量:1668
本帖最后由 daheix 于 2016-12-13 10:46 编辑 第一:自己尝试移植stemwin,最后还失败了,gui_init函数挂死, 网上说必须激活crc时钟,也已经激活了。但最后还没有正常启动stemwin的gui_init(); 结合网上的例子再加上自己的尝试,还分享一下步骤,虽然失败了,但整个过程还值得分享, 中间可能有点小的错误...
daheix 2016-12-12 19:09:47 浏览量:3156
在简单应用中,串口modbus通讯可以说即标准又简单的一种设备间通讯协议了,对于一些不需要较大数据量通讯的情况非常适合,我这里基于开源软件freemodbus软件协议栈在orangepi zero上面进行了测试。 基本物理接线关系,在opi zero的26针扩展接口中,使用串口2,也就6-8-10三个引脚,分别gnd-txd-rxd。 外面我连接了...
我爱下载 2017-01-16 08:30:49 浏览量:1629
f412disco板子自带了一个sd卡接口,通信总线为高速的sdio,可以选择1位数据总线模式(sdio_bus_wide_1b)或者4位数据总线模式(sdio_bus_wide_4b),1位总线模式的原理类似于spi的半双工总线,而4位总线模式则算一种并行总线了。 从电路图中可以看到,sd卡接口接在了f4系列的标准4位数据总线sdio接口,即pc8、pc9、pc10、p...
donatello 2017-05-24 18:37:53 浏览量:1004
f412disco板子自带了一个sd卡接口,通信总线为高速的sdio,可以选择1位数据总线模式(sdio_bus_wide_1b)或者4位数据总线模式(sdio_bus_wide_4b),1位总线模式的原理类似于spi的半双工总线,而4位总线模式则算一种并行总线了。 从电路图中可以看到,sd卡接口接在了f4系列的标准4位数据总线sdio接口,即pc8、pc9、pc10、p...
donatello 2017-05-24 18:37:53 浏览量:1001
【singleyork出品stc8单片机核心板试用体验】开箱&上电&工程环境搭建 几个月前就收到了singleyork大大寄送的stc8a8k64s4a12核心开发板,无奈一直没有发开箱帖,现在终于有时间可以补回来了。想对singleyork大大说声不好意思! 板子的整体颜色为绿色,风格类似于stm32官方的disco系列开发板,其中最为瞩目的地方就板子...
donatello 2017-07-31 20:26:58 浏览量:1046
在前面的研究过程中,发现了两种可以加载和引导m4运行的方法。 经过摸索,我已经可以采用两种方法保证编译的代码在m4中正确引导和运行: 1、 将编译好的bin文件更新到/var/opt/m4中,并重新更名为m4last.fw,重新启动系统,代码会自动加载运行; 2、首先删除/var/opt/m4/m4last.fw文件,重新启动系统,通...
我爱下载 2017-08-22 09:19:14 浏览量:760
onenet平台: 密码:otz9 总结: ①onenet一个很好的平台 ②onenet一个很好的平台 ③onenet一个很好的平台
Smart_wp 2018-01-28 21:49:41 浏览量:1170
由五块超小型印刷电路板组成的,每块只有10毫米×10毫米。每个PCB都配有9个WS2812 2020 LeD和两个电容器。电阻只一个焊接桥,因此它的电阻为零欧姆。由此产生的45个发光二极管可通过一条数据线进行控制。 观看视频:https://www.icxbk.com/video/detail/1323.html
电子芯吧客 2020-12-28 10:02:00 浏览量:609