电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
问答
试用
文章
评测
下载
样片
FPGA入门:Verilog/VHDL语法学习的经验之谈   本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》 书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt            FPGA/CPLD器件的设计输入有很多种方式,如绘制原理图、编写代码或是调用ip核。早期的工程...
特权同学 2015-02-11 17:37:03 浏览量:589
空中翱翔的老虎 2014-12-26 23:03:04 浏览量:298
FPGA全名可编程门阵列!刚在大学接触的时候,感觉非常的高大上,名字很好听,很想去学,以为是类似于单片机,应该属于一种其他类型的芯片,冲着这个好奇就学习了它,并在后面就业择业发挥了很大作用!在此多提一下,不要冲着高大上就以为有钱途,前途是靠努力争取的,不是靠高大上攀附来的。作为过来人还是要说下,FPGA还是...
jzc_guet 2014-10-15 23:14:40 浏览量:1858
分享一个实用的FPGA调试工具ChipScope实用教程,供大家学习交流。
我以我芯 2014-09-06 20:30:42 浏览量:637
在Altera论坛里看到有人提到一个使用tcl管理项目版本的问题,其参考的方法在altera的官方网站有介绍:http://www.altera.com/support/examples/tcl/tcl-version-number.html。   根据官网介绍,理出其简单步骤: 1、生成一个update_version.tcl脚本; 2、在Quart...
coyoo 2014-08-27 09:20:14 浏览量:1528
物理综合优化在老版本QII中是全局设置的,从Quartus II 9.0开始支持对模块(module)、节点(node)等局部进行物理综合优化设置。           随着设计规模越来越大,设计的复杂程度越来越高,外围接口也越来越复杂,逻辑设计的时序收敛的挑战也越来越严峻。在保证代码效率等其他手段的前提下,Alte...
coyoo 2014-07-18 08:55:46 浏览量:1626
 1.基础问题 FPGA的基础就是数字电路和HDL语言,想学好FPGA的人,建议床头都有一本数字电路的书,不管是哪个版本的,这个是基础,多了解也有助于形成硬件设计的思想。 在语言方面,建议初学者学习Verilog语言,VHDL语言语法规范严格,调试起来很慢,Verilog语言容易上手,而且,一般大型企业都是用Verilog语言。 ...
jxfxyd 2014-07-07 10:41:19 浏览量:1762
14 nm FPGA测试芯片确认了在使用业界最先进的工艺技术时 Altera获得的性能、功耗和密度优势 21ic讯 Altera公司今天展示了基于Intel 14 nm三栅极工艺的FPGA技术。基于14 nm的FPGA测试芯片采用了关键知识产权(ip)组件——收发器、混合信号ip以及数字逻辑,这些组件用在Stratix® 10 FPGA和SoC中。Altera与Intel合作开发...
coyoo 2014-05-21 15:36:43 浏览量:1256
经过一段时间的曲折,我们终于成功的在Stratix V GX器件上成功的实现了16G Fibre Channel FC1/FC2,在2013年似火的夏日里感受到开发成功带来的灼热和兴奋,这些 底层ip core的实现,为上层协议的开发奠定了坚实的基础,正象前期我们设计成功支持14.025Gbps硬件板卡一样,每一步都为下一阶段的工作做好基础。 随着这些相...
coyoo 2014-05-20 13:34:30 浏览量:1508
1983年,Altera公司正式成立。很多人现在对这一年印象还非常深刻——挑战者号和哥伦比亚号航天飞机首航,xxx总统小阿基诺被暗杀,美国入侵格林纳达等。而在技术领域却是白驹过隙,30年显得如此漫长。TCP/ip成为ARPANET的正式协议——那时还没有互联网。IBM推出了PC-XT——个人计算机还没有硬盘。宣布了GNU项目。 ...
coyoo 2014-05-20 13:30:23 浏览量:1664
越来越多的信息被收集和存储起来,数据正呈爆炸式增长......大数据时代正在来临,随着云计算概念的提出和云案例的普及, 现有计算机体系架构被摇动了。云扰乱了稳定了多年的IT世界。ARM来了,搅动了处理器市场;微服务器来了,搅动了刀片服务器的形态;虚拟化来了,搅动了应用的边界;总之,计算机世界最近很是乱,有人忙着...
coyoo 2014-05-19 09:39:45 浏览量:1589
应业务需要用pci-e来传输高速的数据,现在写了接口驱动模块,采取的方案是pc驱动分配一块内存,内存读写由外部逻辑控制,现在测试数据表明,外部连续写数据到PC内存,接口是16bit 数据 200M的时钟的FIFO,测试表明FIFO没有full现象,这个结果超出了预期。测试数据目前是在片内计数生成的,从最初100M,逐步提高,110,120,1...
coyoo 2014-05-15 10:06:29 浏览量:1738
Altera发布业界第一个面向FPGA的OpenCL计划 Altera公司(NASDAQ: ALTR)今天发布FPGA和SoC FPGA的开放计算语言(OpenCL™)标准开发计划。OpenCL标准是基于C语言的开放标准,适用于并行编程。Altera的OpenCL计划结合了FPGA的并行能力以及OpenCL标准,实现强大的系统加速功能。与使用Verilog或者VHDL等底层硬件...
coyoo 2014-05-15 10:01:29 浏览量:1089
8B10B编解码及FPGA实现 王敏志 概述 在使用ALTERA的高速串行接口时,GXB模块里硬件实现了8B10B编码,用户只是“傻瓜”式的使用,笔者也一直没有弄清楚。网上搜索了一些学习资料,结合参考文献希望能够对其进行消化。另外,ALTERA现在已经提供8B10B ip,用户可以直接使用,不过有时候为了代码可移植性...
coyoo 2014-05-13 08:51:59 浏览量:1668
    FPGA设计与石油开采,亚马逊的商品推荐系统有何共同之处?----本次讲座沙龙主要讨论在FPGA设计里工程师与设计团队所面对的问题,及如何应用数据分析来解决。列举的不同的设计案例将会说明如何把这些技术融入现有的芯片设计流程。 【讲座主题】:FPGA设计中所遇问题的系统解决策略 ...
Henryearn 2014-03-25 16:38:17 浏览量:1335
概述 所谓自动化设计,就是在逻辑设计流程中,有些过程需要反复进行,比如编译、仿真和工程调试等等,可以通过一定的方式使得这些流程可以自动运行,而不必每次都需要人工手动去启动。参考介绍了如何自动化建立Quartus II工程,参考翻译了参考的内容,这里对这些热心人表示感谢。 Quartus ...
coyoo 2014-03-05 15:45:37 浏览量:2581
前面有文章介绍了单个JTAG链上挂多个FPGA结构中如何应用Virtual JTAG进行项目调试,那么调试完成后就要将配置文件固化到配置芯片里,这里的配置芯片指的EPCS系列,当然可以直接将pof文件(注:由于是一片EPCS芯片配置多个FPGA,所以这里的pof文件是经过转换的pof文件)通过AS模式下载到EPCS芯片里。还有一种间接的方式就是...
coyoo 2014-02-17 16:01:22 浏览量:6729
Altera助力动视元推出首款基于FPGA的智能交通视频分析解决方案,较之传统工控机或DSP的解决方案,基于FPGA的视频分析可极大提高侦测率和节省成本。 2013年10月29号,深圳 —— Altera公司 (NASDAQ: ALTR) 今天在2013年中国国际公共安全博览会 (安博会) 上宣布,北京动视元科技有限公司(简称:动视元)采用Altera基...
前功尽弃 2014-01-03 10:39:45 浏览量:884
Reuse Methodology Manual for System-on-a-Chip Designs(3rd).part1
高个女孩 2013-11-25 20:43:11 浏览量:437