电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
问答
试用
文章
评测
下载
样片
本帖最后由 叶野 于 2017-11-20 17:29 编辑 开箱过程千篇一律就不放了,本来是想用模块接收公司蓝牙心率手环广播数据,并实时显示在大屏幕,后面发现SDK现在仅支持最多8个广播设备,就先把环境安装好试一下工程编译过程首先是环境安装时需要注意尽量安装在硬盘根目录下,并且目录不得有空格 实测安装在了...
叶野 2017-11-20 17:26:01 浏览量:1134
一,板子的模块 1.WIFI 2.以太网 3.MP3 4.录音 5.MAX232485 6.can 7.光敏传感器 8.陀螺仪 9.USB 10.串口 11.蜂鸣器等众多模块 总体来说这块板子能够满足我们绝大部分学习了。 二,资料 在拿到的里面有两个光盘,里面有大部分资料,因为视频资料可能太大要在百度云上下载,我已经收集到了所有的讲解视频,F429...
13767239717 2017-12-28 17:48:49 浏览量:2571
在前几篇中,我们把湖南智宇科教设备c51单片机智能小车套件其余部件装配好了 今天,我们来进行湖南智宇科教设备c51单片机智能小车套件软件部分的烧录与最后电机和电源线的焊接 首先,打开湖南智宇科教设备c51单片机智能小车套件例程, 可以看到这个例程做得非常好,每行都有注释,修改和读代码都很方便, 做成分层...
STM64 2018-03-15 16:44:21 浏览量:988
本帖最后由 hehung 于 2018-4-3 10:54 编辑 今天第一次试了一下这块开发板的程序的编写与下载,感觉还不错,使用的是USB下载的,很方便,不想其他的单片机要用USB-TTL下载。 首先说一下光盘的资料,因为本人的笔记本不带有光驱,还特地找了人给我拷贝下来的。资料很丰富,有足足的3.9个多G。 其中包含了视频资料...
hehung 2018-03-28 11:18:29 浏览量:1238
今天看到身边某位同学的代码,工程很大,包括LCD、矩阵键盘+独立按键扫描、红外解码等等全放在main.c文件里,上千行的代码,着实看着头晕。如果有不清楚的,可以借此文档学习一番,简单而且实用。(注意:仅介绍了keil 4或者5的代码管理方法)由于文章略长图片较多,我将文章写在了一个word文档里,文档截图如下 由于未知...
fighter-12315 2018-07-14 21:28:13 浏览量:1928
来源 灵动MM32 物联网、AI技术日臻成熟,智慧产业急速发展,汽车电子、消费性产业应用需求大增,产品开发对微控制器依赖日益加深……如何选择合适的MCU产品及方案,为加速产品开发,满足更加多元化的市场,成为系统厂商和研发工程师面对的新挑战。 2018年9月18日,由台湾知名媒体Digitimes主办的“D forum...
MindMotion 2018-09-18 18:17:47 浏览量:1090
无沦是用离散逻辑、可编程逻辑,还是用全定制硅器件实现的任何数字设计,为了成功地操作,可靠的时钟是非常关键的。设计不良的时钟在极限的温度、电压或制造工艺的偏差情况下将导致错误的行为,并且调试困难、花销很大。 在设计PLD/FPGA时通常采用几种时钟类型。时钟可分为如下四种类型:全局时钟、门控时钟、多级逻辑时钟...
Eagleson 2016-04-27 15:39:20 浏览量:2175
# ** Error: (vdel-42) Unsupported ModelSim library format for "C:/Users/Administrator/Desktop/EDA/fenping/simulation/modelsim/rtl_work". (format: 4)我是直接用quartus Ⅱ调用modelsim,前面一个没有问题,重新新建一个文件夹写一个再一个调用仿真就出现这个。...
优雅只于心间 2016-03-15 14:01:41 浏览量:3278
数字电路设计工程师一般都学习过编程语言、数字逻辑基础、各种EDA软件工具的使用。就编程语言而言,国内外大多数学校都以C语言为标准,只有少部分学校使用Pascal 和fortran。 算法的描述和验证常用C语言来做。例如要设计Reed-Solomen编码/解码器,我们必须先深入了解Reed-Solomen编码/解码的算法,再编写C语言...
US 2015-11-29 10:19:52 浏览量:705
一位孜孜不倦求学者的攀登之路(同学发来的笔记文档) 关于约束,时序分析的问题汇总 关于约束、时序分析的问题,比如:如何设置setup,hold时间?如何使用全局时钟和第二全局时钟(长线资源)?如何进行分组约束?如何约束某部分组合逻辑?如何通过约束保证异步时钟域之间的数据交换可靠?如何...
starysoul 2015-11-24 21:48:39 浏览量:485
一位孜孜不倦求学者的攀登之路(同学发来的笔记文档) 关于约束,时序分析的问题汇总 关于约束、时序分析的问题,比如:如何设置setup,hold时间?如何使用全局时钟和第二全局时钟(长线资源)?如何进行分组约束?如何约束某部分组合逻辑?如何通过约束保证异步时钟域之间的数据交换可靠?如何...
starysoul 2015-11-24 21:48:35 浏览量:497
Debussy是一套很好的Verilog/VHDL调试工具,可以帮助设计者快速理解复杂的设计,查找和定位设计中存在的问题,提高效率 同时,利用Debussy软件还可以很方便的查看仿真波形,比如说可以查看由HSIM仿真产生的FSDB文件 先给个Debussy软件的安装说明,感兴趣的可以下来看看 Debussy安装步骤_百度文库 http://wenku.bai...
Eagleson 2014-09-09 23:04:37 浏览量:1832
物理综合优化在老版本QII中是全局设置的,从Quartus II 9.0开始支持对模块(module)、节点(node)等局部进行物理综合优化设置。           随着设计规模越来越大,设计的复杂程度越来越高,外围接口也越来越复杂,逻辑设计的时序收敛的挑战也越来越严峻。在保证代码效率等其他手段的前提下,Alte...
coyoo 2014-07-18 08:55:46 浏览量:1637
    FPGA设计与石油开采,亚马逊的商品推荐系统有何共同之处?----本次讲座沙龙主要讨论在FPGA设计里工程师与设计团队所面对的问题,及如何应用数据分析来解决。列举的不同的设计案例将会说明如何把这些技术融入现有的芯片设计流程。 【讲座主题】:FPGA设计中所遇问题的系统解决策略 ...
Henryearn 2014-03-25 16:38:17 浏览量:1344
我们知道一个JTAG链通过菊花链的方式可以挂多个FPGA或者CPLD,同时同一台电脑上可以同时插有多种,或者一种多根电缆的情况。那么象这种情况,在Virtual JTAG调试的时候如何处理呢?由于以前没有这样玩过,这次在实验室为了调试方便,在一台电脑上插了3根电缆,其中一根Byteblaster两根USB Blaster电缆,我们来看看Virtual J...
coyoo 2014-02-12 15:38:20 浏览量:1877
我下了的最新的U-boot 但是我的arm-linux-gcc编译器版本太低编译不了,哪位大神可以帮忙解决??在网上找了很久也没有找到现成的编译工具下载,去官网也没有现成的。
无止境的空白 2016-03-14 12:37:42 浏览量:1195
以下言论来自网友收集整理,如有侵权,请联系告知,谢谢。 嵌入式专业是一门实践性非常强的学科,只有多动手,多实践,多编程,多调试,多看书,多思考才能真正掌握好嵌入式开发技术。     现在很多同学也意识到了学校培养模式和社会需求脱节问题,有一部分同学也先行行动起来,开始注重培养自己...
爱看代码 2015-10-21 12:52:28 浏览量:791
首先我要声明这是我个人在编译过程中所遇到的错误,如果你们在这个过程中有什么疑问的话可以跟帖说明 首先第一章讲的是Linux的编译过程 首先将厂商提供的lichee.tar.gz 文件通过虚拟机拷贝到ubuntu12.04 系统的工作目录下,可以通过虚拟机共享文件夹的方式或者Samba 方式进行文件拷贝,然后解压该文件,使用...
khuku 2015-09-23 11:03:35 浏览量:829