电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
问答
试用
文章
评测
下载
样片
源 灵动微电MMCU 上一章节中已经教大家如何使MM32SPIN2x的PWM控制模控制功能,本章节将与大家一起配置硬件除法器和硬件开方器。 除法和开方是非常消耗时间的运算,而在电机控制算法中,乘除法和平方根运算在电机运算中使频率较高。为了提高MCU的工作效率,MM32SPIN2x了内嵌硬件32bit硬件除法器和32bit...
MindMotion 2018-11-30 09:36:08 浏览量:1003
源 灵动微电MMCU上一章节中已经教大家如何使MM32SPIN2x的UARTBit9模式,本章节将与大家一起使CRC模块进行数据校验。 在数据传输过程中,无论传输系统的设计再怎么完美,差错总会存在,这种差错可能会导致在链路上传输的一个或者多个帧被破坏(出现比特差错,0变为1,或者1变为0),从而接受方接收到错误的数据。为尽量...
MindMotion 2019-01-03 15:24:48 浏览量:1297
源 灵动微电 MMCU现在的采电池供电方式电机控制类的小型化电子产品,因为电池容量和体积等限制对功耗控制的要求越越高。电池的容量和体积限制如何让户能够更长时间使产品,产品功耗的问题是经常让产品设计者头痛而又不得不面对的一个问题。包括:剃须刀、电动牙刷、洁面仪等。电机类产品功耗主要是电机驱动模块占...
MindMotion 2019-03-27 10:10:26 浏览量:2912
  视频、影像和电信市场的标准推动了异构可重配置 DSP 硬件平台的使。就本文而言,这些平台包括 DSP 处理器和 FPGA,它们提供的现成硬件解决方案可以解决视频、影像和电信设计中的重大难题,但仍不失产品差异化所需的足够的可定制性。          据市场研究公司 Forward Concepts 于 2005 年发表的一则调...
力洪 2013-07-22 10:44:41 浏览量:631
   视频、影像和电信市场的标准推动了异构可重配置 DSP 硬件平台的使。就本文而言,这些平台包括 DSP 处理器和 FPGA,它们提供的现成硬件解决方案可以解决视频、影像和电信设计中的重大难题,但仍不失产品差异化所需的足够的可定制性。          据市场研究公司 Forward Concepts 于 2005 年发表的一则...
韩梅梅 2013-07-22 10:35:21 浏览量:891
目前,大型设计一般推荐使同步时序电路。同步时序电路基于时钟触发沿设计,对时钟的周期、占空比、延时和抖动提出了更高的要求。为了满足同步时序设计的要求,一般在FPGA设计中采全局时钟资源驱动设计的主时钟,以达到最低的时钟抖动和延迟。 FPGA全局时钟资源一般使全铜层工艺实现,并设计了专时钟缓冲与驱动结构...
US 2016-02-27 15:13:03 浏览量:574
前些日子搜集了一些资料比较了FPGA和DSP的优劣之处,今天看看如何FPGA解决一些DSP的设计难题。 由于当今的系统非常复杂,在许多情况下,单DSP的实现方案根本没有足够的处理能力。同时,系统架构也不能满足多芯片系统带的成本、复杂性和功耗要求。 FPGA已成为需要高性能DSP功能的系统的理想选择...
starysoul 2015-12-30 14:40:27 浏览量:639
FPGA的验证调试,仿真喜欢使ModelSim,调试喜欢使Altera的SiganlTapII。最近有个板子由于在硬件设计的时候没有预留JTAG,导致无法使SignalTapII,调试的时候只能借助示波器。偶尔研究使了下SignalProbe,简单地说,SignalProbe就是将内部node引到外部pin上。         一般何时使SignalPr...
coyoo 2014-08-19 12:03:42 浏览量:1429
物理综合优化在老版本QII中是全局设置的,从Quartus II 9.0开始支持对模块(module)、节点(node)等局部进行物理综合优化设置。           随着设计规模越越大,设计的复杂程度越越高,外围接口也越越复杂,逻辑设计的时序收敛的挑战也越越严峻。在保证代码效率等其他手段的前提下,Alte...
coyoo 2014-07-18 08:55:46 浏览量:1639
在产品开发过程中会遇到很多问题,其中之一是开发原始资料的勘误问题,比如器件库中的一些引脚勘误等,这些问题很小,但又是非常重要的,小小的一个疏漏,可能造成一版本硬件设计的错误,为规避这些问题,需要采取各种方法: 1、确保拿到最新发布的文档资料 2、多个文档资料中相关部分联动校对,这里可以细致的工作作风保证,...
coyoo 2014-05-20 13:41:23 浏览量:1137
IC工艺的进步使我们能得到越越大规模的逻辑资源,如何使这些逻辑资源呢?谁有效使了这些资源,谁应该就能在市场竞争中处于有利地位。当今CPU、FPGA、SOC芯片、ASIC什么功能都可以往上做,有两个亮点是最引人注意的:1、多核 2、FPGA,他们都能有效的把这些资源。 1、多核 有效的利了逻辑资源,目前遇到的...
coyoo 2014-05-16 10:17:58 浏览量:739
Vivado™ 设计套件是什么? 集成的设计环境——Vivado设计套件包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模型和通调试环境基础上。这也是一个基于AMBA AXI4 互联规范、IP-XACT IP封装元数据、工具命令语言(TCL)、Synopsys 系统约束(SDC) 以及其它有助于根据客户需求量身定制设...
大头 2013-07-19 16:00:38 浏览量:627
安卓支持3类处理器(CPU):ARM、intel和MIPS。其中ARM无疑被使得最为广泛。intel因为普及于台式机和服务器而被人们所熟知,然而对移动行业影响力相对较小。MIPS在32位和64位嵌入式领域中历史悠久,获得了不少的成功,可目前Android的采率在三者中最低。 总之,ARM现在是赢家,而intel是ARM的最强对手。那么ARM处...
清一色 2016-02-28 21:21:02 浏览量:631
以下言论自网友收集整理,如有侵权,请联系告知,谢谢。 嵌入式专业是一门实践性非常强的学科,只有多动手,多实践,多编程,多调试,多看书,多思考才能真正掌握好嵌入式开发技术。     现在很多同学也意识到了学校培养模式和社会需求脱节问题,有一部分同学也先行行动起,开始注重培养自己...
爱看代码 2015-10-21 12:52:28 浏览量:794
自动化病患监护将成为一项关键医疗技术 随着病患监护的自动化以及对数据读数准确性需求的激增,无线数据传输必将成为一项关键医疗技术。那些旨在确保医患数据读数存储与传输安全的行业标准将帮助医生处理无线联网医疗设备日益增长的数据量。 基于上述几点,本文将介绍三种能够应于联网医疗设备的无线接口:蓝牙(B...
边城 2014-09-03 18:48:18 浏览量:483
Altera公司宣布,与前一代高性能可编程器件相比,Stratix® 10 FPGA和SoC客户设计的内核性能成功提高了两倍。Altera与几家早期试客户在多个市场领域密切合作,使Stratix 10性能评估工具测试了他们的下一代设计。客户所体会到的FPGA内核性能突破源自Intel 14 nm三栅极工艺技术以及革命性的Stratix 10 HyperFlex™体系结...
边城 2014-05-23 12:26:43 浏览量:520
uC/GUI是Micrium公司开发的通的嵌入式户图形界面软件。它给任何使图形LCD的应程序提供独立于处理器和LCD控制器之外的有效的图形户接口。可以应于单一任务环境,也可以应于多任务环境中。uC/GUI能够应于任何LCD控制器和CPU的任何尺寸的物理显示或者模拟显示中。   uC/GUI的特点如下:   适于任何8位...
wanli 2013-04-03 17:04:00 浏览量:957
本电路构思巧妙、工作可靠、元件价廉易购,值得推荐。   一、电路工作原理   电路原理如图42 所示。       当负载电流过大时,555(IC1)的③脚变低,要使它再次变高就需要按压复位开关S1(当然,只是在负载电流恢复到限定值以下,③脚才有再次变高的可能)。③脚输...
SingleYork 2016-01-07 19:47:05 浏览量:689