电子芯吧客
电子芯吧客
电子工程师技术服务社区
论坛
问答
试用
文章
评测
下载
样片
DSD音质好,但是没什用。也许狗和猫咪,还有海豚能听出DSD更保真吧。PCM问题是在高频截断,它采样率总共就只有48000,用Audition打开一首曲子,做频谱分析时候就会发现超声部分截断了。虽然Audition在编辑模式下可以生成40KHz正弦,但是却也不能以PCM格式保存它。如果是DSD编码音频,频谱可以延伸到40KHz左右...
megar 2018-09-15 23:57:36 浏览量:5170
可以产生精确 9600bps 特率, 12Mhz 晶振特率就有误差了
luohaiyang 2018-09-28 17:14:22 浏览量:855
一. 方案设计与论证 1. 系统概述 本设计是基于STC89LE52RC单片机和NRF24L01无线通信模块可控数字电子钟。如图一所示,它由一个单片机控制数字电子钟和一个LED组成时分显示器组成,两者之间通过无线通信方式实现同步显示。主要功能有: (1) 电子钟能显示年、月、日、时、分、秒,具有闹钟和整...
IC~控 2016-04-05 12:02:41 浏览量:1376
晶振靠近单片机,能让单片机获得更稳定正弦时钟信号输入,不需要做额外等措施。
295444a1f1611a04 2018-11-26 00:42:21 浏览量:1875
51单片机加拓展芯片就可以啦,而且单个51单片机可以控制32gLED
XIAOHEIWU 2018-11-26 23:47:41 浏览量:1088
51单片机可以做工业控制,做一些传感器采集
8c04df23882bf9be 2018-12-23 15:09:40 浏览量:663
51单片机做毕设,需要做什?不会编程
23bf7f36cfab0015 2019-03-04 14:05:44 浏览量:2225
用电流互感器检测交流电流,转换为交流电压,再转换为直流电流给单片机ad检测???然后怎求功率电流电量???
白云苍狗 2019-03-30 09:29:28 浏览量:984
51单片机可以实现软件fft,不过软件做fft会消耗大量时间,只适合那种对计算频率要求不高场合做fft一般要求那个硬件能支持浮点运算,比如STM32F4那种
f2702aefadb9fc17 2019-05-14 00:12:02 浏览量:1212
现在在做一个项目,准备用中基国威51单片机,价格便宜资源丰富。不知道怎样??
sjsjling 2021-03-02 17:29:22 浏览量:1110
如题,理论上T1作为特率发生器定时器不需要中断服务函数。 但是如果加了允许进入中断(ET1=1;),又写了中断服务函数内容话,会造成串口发送乱码吗? 或者允许了中断,但是不写中断服务函数,会造成51单片机跑飞程序吗? void SendByte(unsigned char c) {   SBUF = c;   while(TI==0);   TI = 0; } void main...
大伟 2020-05-09 18:07:58 浏览量:1094
之前一直在弄有霍尔BLDC控制,年后弄了一下无霍尔,但是电机控制工程师梦想还是矢量控制,哈哈。前路漫漫,现在各个相关公司已经有了电机控制库,但是我还是想先自己尝试写一下矢量控制,毕竟自己亲手来一遍才清楚其中奥妙与玄机。 由简入难,方搞差不多了,然后就从有霍尔矢量控制开始做。首先就是.....
KVIN 2019-09-11 10:14:13 浏览量:12069
 【电机矢量控制】FOC的敲门砖---SVPWM的三相正弦波电流采样
单片机产生PWM,传输大约50cm,对于市面上普通mg996还是可以正常运行,但到了大约60cm就不行了,出现严重抖动,虽然没有用示器测过,但大概就是PWM线损导致……有没有什方法解决?我用了开关电路依然没有明显改善。有没有什中继之类手段?要比较小巧、轻便一点
day_day 2018-09-22 01:08:11 浏览量:998
本帖最后由 SingleYork 于 2017-5-3 20:04 编辑 声明:本帖在“立创快报 第9期”首次刊登,版权归作者SingleYork所以,未经作者同意,禁止转载! 玩单片机小伙伴们应该对“单片机最小系统”这个概念并不陌生,说到单片机最小系统,其实就是一个由单片机外部接上时钟电路、复位电路和电源构成一个基本应用系统...
SingleYork 2017-05-03 19:52:14 浏览量:3153
之前在论坛写【跟我学】基于IAP15W413AS单片机工业自动化控制系列教程 http://www.ickey.cc/community/thread-96672-1-1.html由于时间关系一直耽搁至今未更新,现在又有点时间,开始陆续更新一下该教程帖吧,欢迎喜欢我或者喜欢工控小伙伴们持续关注哟……由于时间关系,更新速度不会很快,还请谅解,有问题可...
SingleYork 2018-07-25 18:56:05 浏览量:11015
 51单片机与迪文DGUS屏通信例程之一:迪文DGUS工程的建立及控件的使用
     明天就是七夕了,可惜和我这单身狗没有多大关系。今天本来做了个自动调节PWM脉宽程序,对于频率低单片机来说,生成很大频率形已经很困难了,后拉就放弃了51单片机生成高频率信号,但是对于STM32来说产生PWM信号那就是小菜一碟,这也没有什科技难度。想到七夕到了,给那些情侣制作一个技术宅过节方式...
孤独的远行人 2015-08-19 19:41:12 浏览量:536
51单片机RXD TXD可以和PL2302直接相连输出到串口上进行通信
小天 2016-12-14 17:15:01 浏览量:2181
1.大家都知道一般51单片机与PC通信时一般采用11.0592M晶振,那如果用了12M晶振与PC通信bps为2400时 那单片机应该TH1=TH0=0xF4;但实际上要TH1=TH0=0xF3;才能正常通信,那其他高点bps能不能像这样降低TH1,TH0值来正常通信?2.为什STM32只要用12M晶振就可以直接正常通信了?​​
_落雪千寒灬 2017-09-04 19:36:14 浏览量:914
51单片机&超声控制舵机转向创意制作,内容附实验图以及实用材料清单...
菩提老头 2020-03-20 10:03:27 浏览量:661
单片机制作高频正弦逆变器方案
怪盗基德 2020-12-17 15:38:08 浏览量:725