今日热门

4

回答

ZYNQ和常规FPGA开发有什么不同?

元灵军团 2020-04-10 阅读:1518

1

回答

SignalTap主要有哪些作用?

yn2940 2020-04-07 阅读:1074

1

回答
Quartus FGPA/CPLD

Quartus prime lite里边有没有FloorPlan view,应该怎么打开呢?

牛妞小小 2020-04-06 阅读:635

8

回答

都说vreylog和c语言类似,那么verylog和C语言有什么区别?

丹青 2020-04-03 阅读:956

2

回答

查找表和逻辑单元是什么关系,看有些FPGA芯片的逻辑单元要比查找表多,怎么解释?

牛妞小小 2020-04-02 阅读:1204

2

回答

我自己写了一个IP核,这个IP核在testbench的测试下也达到了要求。现在想挂在zynq的平台上通过AXI总线对该IP核传输数据进行验证。但是现在手头没有开发板,请问vivado软件的SDK可以在线运行程序并观测输出引脚的电平吗?(我之前用过KEIL5软件写过STM32的程序,KEIL5软件可以提供在没有开发板的情况下在线运行程序观察引脚输出电平的功能,不知道vivado有没有。如果有的话怎么配置一下?)谢谢!!!

chenne 2020-03-22 阅读:2699

0

回答

Xilinx的RapidIO核的使用手册。

夕阳血 2020-03-19 阅读:552

10

回答

有没有国产的FPGA可以使用

厉害企鹅 2020-03-18 阅读:907

9

回答

FPGA的开发软件是不是免费的

厉害企鹅 2020-03-17 阅读:1285

4

回答

FPGA的FFT采集计算50Kh以内的频率,精度要求+/-1Hz,幅值的精度要求+/-25mV,不知道可以做到不?以我目前对FFT核的了解,这个频率范围太宽,貌似做不到吧。

夕阳血 2020-03-16 阅读:699

4

回答

fpga用来做示波器怎么样。

imtzhangge 2020-03-15 阅读:663

3

回答

FPGA搭载DDR3跑到600M就不稳定如何分析?

megar 2020-03-14 阅读:1029

2

回答

altera和xilinx的FPGA有什么区别?

灰太狼敲门 2020-03-14 阅读:1082

2

回答

HDMI2.0的IP核不要用软核控制,要用逻辑代码控制,软核控制的代码我有,不好用。

夕阳血 2020-03-14 阅读:1957

2

回答

FPGA 应该怎么学习。 流程是怎么样的。 要学习哪些知识点。

imtzhangge 2020-03-10 阅读:768

2

回答

加法器是怎么计算减法的,以及为什么要用补码?

牛妞小小 2020-03-09 阅读:1180

0

回答

我看网上很多资料都说Verilog设计中要避免产生锁存器,会带来很多问题,甚至综合时会被综合掉。如果想让某一个值X在if(...)条件成立时更新X的值,当if(...)条件不成立时,保持原来的值,这样必然会产生锁存器。但是如果就是想要一个这样的逻辑效果,保持原来的值,除了产生锁存器外,有没有其他的办法解决,来达到这种保存原来的值的效果?

chenne 2020-03-06 阅读:970

4

回答

VHDL和verylog有什么区别,那种更实用?

megar 2020-03-05 阅读:778

1

回答

FPGA如何对PAL接口进行数据接收,有哪些注意事项?

arm1996 2020-02-24 阅读:1051

3

回答

vivada烧写按钮是灰色的

youand_me 2020-02-23 阅读:1421
  • 帮助人数
  • 0
  • 获得赞数
  • 0
  • 一周积分
  • 0

问题达人换一批

本月问答

排名
用户名
问答积分
< >