电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
论坛
问答
试用
评测
下载
样片
> ELF文件(Executable Linkable Format)是一种文件存储格式。Linux下的目标文件和可执行文件都按照该格式进行存储,有必要做个总结。 [TOC] ## 1. 链接举例   在介绍ELF文件之前,我们先看下,一个.c程序是如何变成可执行目标文件的。下面举个例子。   该程序由main.c和sum.c两个模块组成。s......
5b772bdf5860a56e 2021-01-30 22:47:14 浏览量:406
 扒一扒ELF文件
## 0. 前言 粉丝留言,想知道如何使用Makefile给多个文件和多级目录建立一个工程,必须安排! 关于Makefile的入门参考文章,可以先看这篇文章: 《[Makefile入门教程](https://mp.weixin.qq.com/s?__biz=MzUxMjEyNDgyNw==&mid=2247497099&idx=1&sn=cc1ecb9f77b13726ed7bac1cc8b9ba96&chksm=f96b877fce1c0e69ccd4e0a913b...
一口Linux 2021-10-18 19:56:05 浏览量:377
 利用Makefile给多文件、多目录C源码建立工程
> 总述 > > C++11提供了对匿名函数的支持,称为Lambda函数(也叫Lambda表达式). 它是定义和使用匿名函数对象的一种简便的方式。匿名函数是我们需要用到的一个函数,但是又不想去费力命名一个函数的场景。我们无需为每个值或者每种类型)单独编写函数,更不必把值保存在让人厌倦的全局变量中 。 利用lambda表达式可以编写内嵌....
良知犹存 2020-09-28 15:14:36 浏览量:296
MAXRefDES1004用于实现负压到正压转换,可支持-73V至-35V输入电压范围,输出5V@100mA,以满足多数非隔离通信电源的设计要求。该参考设计采用MAX668固定频率、脉宽调制(PWM)、电流模式DC-DC控制器。MAX668用于宽范围输入DC-DC转换应用,包括升压、SEPIC、反激和隔离输出电源。可输出20W或更高功率,转换效率高于90%。1.8V至2...
gtrjolin1986 2019-04-02 15:51:34 浏览量:787
 MAXREFDES1004:-73V至-35V输入、5V/100mA输出、Boost转换器
超级电容在现代电子产品中具有独特优势。实际应用中,超级电容相关设计的一个主要考虑因素是dv/dt补偿。当超级电容负载出现瞬态大电流时,电池内阻在电池上产生较大压降。例如,将10A负载连接到内阻为0.2Ω的10V电池时,内阻压降为2V。随着电流增大,电池的输出电压下降,我们称其为dv/dt。为了提供足够的系统电压,设计人....
gtrjolin1986 2019-04-02 16:40:16 浏览量:1649
 MAXREFDES1151: 超级电容充电器与dv/dt补偿
大家晚上好,在这里祝大家端午安康。今天给大家分享的是工程管理工具cmake,没有先介绍makefile工程管理工具(坦白来说,这两者都差不多,cmake最终还是会生成Makefile的,只是说cmake语法稍微比较简单一些,没有Makefile那么复杂!)。就自己个人经历,现在一般公司去写Makefile和cmake的比较少(当然去招聘网站上,有的时...
dcc01a6ef24de746 2020-07-24 12:59:58 浏览量:484
说不上有多相见恨晚,不过在大量计算机类图书作者都是欺世盗名的情况下,有那么几本靠谱的良心之作,已经够让人欣喜了。 顺便说一下我的读书观或者技术学习观: 1、买书学习不要纠结可惜钱,纠结买哪本,差不多的可以都买来翻翻看看,一本书五六十而已,学会技术在身,能赚书价的许多倍 ...
小熊123 2018-04-17 09:52:37 浏览量:48632
 想做硬件工程师,读这些书就够了!
灯神原理图:http://IMG_URL_REPLACE/bbsfiles/201804/a69001fed12ec3ad5363f982b0c9b60c.rar
SingleYork 2018-04-17 10:11:48 浏览量:1235
 灯神来袭,让你的LED跟随MUSIC HIGH起来!
下面介绍一下我的Seeeduino V3/Arduino UNO玩板经验,供大家学习参考,通过下面四个小项目从浅入深介绍Seeeduino V3在生活中的应用 使用LCD1602液晶屏显示文字 使用DHT11温湿度传感器测量温湿度并在LCD1602液晶屏显示环境温湿度 使用HC-SR04超声波模块测距并在LCD1602液晶屏显示物体间...
辛迪可乐 2018-04-19 11:11:50 浏览量:2032
 玩转Seeeduino V3/Arduino UNO
一、首先,先进行系统模型的介绍:   实物模型主要分为四个部分:1.温室模型;2.气体、液体发生装置;3.系统控制电路;4. 温室监控中心;   1. 温室模型   温室/大棚模型采用人工草皮,植物模型作为装饰,并将各种传感器安装在模型中。悬空布置水管,并扎上洞眼,用于浇水;左侧安装换气风扇;  ...
18362201019 2018-04-20 21:15:38 浏览量:3084
 玩转物联网,动手制作智能温室模型!
    之前在玩ESP8266-01,把学习的经验写出来让大家共同学习。     首先,你要有一个ESP8266模块,当然,如果你买的是模块,ESP8266的模块竟然有十几种,大概有这么几个公司做它的模块,首先是AI think,然后是什么WROOF,这第二个不知是啥,大概就是espressif的官方吧,卖的不多。不过淘宝大部分都是AI THINK的.....
fengzheng923 2018-05-02 11:46:28 浏览量:2745
 ESP8266简单使用例程
       几乎所有玩ARM Cortex M单片机的坛友都是通过MDK Keil 5或者IAR环境进行单片机的程序开发的,俗话说工欲善其事必先利其器,我们天天都在用这个开发环境,那么,有些在MDK Keil 5中的实用功能小技巧,大家又知道多少呢?        1.并不是所有源文件(.c)都需要加进工程中,只需要添加必要的源文件...
donatello 2018-05-03 15:29:11 浏览量:4820
 MDK Keil 5中的一些功能小技巧
测试平台Vivado 2017.2 在Vivado的Block设计里,全IP化逐渐形成了一种新型的设计方案,受Vivado内的IP可配置的GUI界面影响,使用IP要比RTL代码更有良好的用户体验;然而,在Block设计里,并不是只有IP这一种可添加并可配置,RTL也可实现上述功能,只需要在Block内右键Add Module..,会弹出下面界面: ...
US 2018-05-07 12:20:35 浏览量:2492
 Viavdo使用系列:IP Integrator HDL
前言 众所周知,开发嵌入式linux程序首选桌面的linux操作系统,例如ubunt等,或者MACos,但是如果仅仅开发应用程序而不打算修改内核和驱动的话,也可以使用windows操作系统,使用eclipse+CDT+arm-linux-gcc(交叉编译器)进行开发。这样就省去了切换操作系统或者使用虚拟机的方法。 本文以i.mx6ul为例,介绍在...
jn1989 2018-05-14 11:28:27 浏览量:3872
 在windows下开发嵌入linux的C应用程序
传统的智能车速度控制器中,速度的控制多采用PD调节或者抗饱和积分的PID调节的方法。PID控制器结构简单,控制容易实现。但是传统PID控制器,在控制对象参数变化较大,非线性效应严重时,显示出一些震荡,响应时间和超调矛盾的局限性。对于速度多变,智能车行驶赛道复杂的情况下,不易满足更高性能要求。 20...
wandering 2018-05-10 17:17:05 浏览量:16324
 ADRC智能车控制算法
现在上很多传感器都支持IIC协议进行核板子的通信,除了IIC之外还有SPI,以及串口Usart通信。其中,我认为最有价值的就是IIC,首先他的通信协议十分简单,对硬件的依赖也很低,正所谓越简单越可靠,IIC的通信使得成为传感器传输数据的最佳方式,越来越多的芯片开发商会将芯片的通信方式中加上IIC。 讲完了IIC的...
数据终端 2018-05-17 15:32:20 浏览量:1077
 一点小问题:麒麟座开发板的IIC扩展
STM32F103 串口-IAP程序升级      通常情况下我们给STM32系列的单片机烧录程序文件的时候,使用SWD、J-link或者通过设置BOOT引脚后,使用串口进行程序下载,这样的方式直接一次性将程序文件下载到单片机的flash中,比较适合绝大部分的应用。但是有些应用中产品装配完成后,下载口不便引出的情况下,或者是某些设...
奔跑的黑蚂蚁 2018-05-21 19:07:12 浏览量:3953
 STM32F103 串口-IAP程序升级
大家在学习STM32是,肯定被复杂的时钟搞得晕头转向。只不过在学习了很多内容之后就会忽略这个问题,直到自己需要创建工程,从12M的外部晶振换成8M外部晶振时,总会对程序的异常运行搞得炸开了头,例如串口通信的处理。大家在反复确认过程序的基础配置没有出错之后,有的人只能赞叹科技的玄学,然后把别人的工程拷过来,自己...
数据终端 2018-05-23 16:00:45 浏览量:5160
 标准库STM32的时钟配置,且实现Systick_clock 以及轮询任务调度问题
测试平台Vivado 2017.2 本文设计了一个支持两个数的加法、减法、乘法、移位运算的IP,支持4个通道,并可通过IP的Re-customize界面配置每个通道的运算逻辑,4个通道可以选择使用数目,输入和运算符号可配置。 一、设计 第一步根据top.v文件建立一个基本工程; 然后点击...
US 2018-05-25 17:33:01 浏览量:1399
 Vivado使用系列:制作Multilogic IP
MQTT协议是一个面向物联网应用的即时通信协议,使用TCP/IP提供网络连接,能够对负载内容实现消息屏蔽传输,开销小,可以有效降低网络流量。MQTT协议适用于设备和平台需要保持长连接的使用场景,MQTT特点在于可以实现设备间的消息单播以及组播,可以不依赖于其他服务(下发命令服务,推送服务等)实现让设备以应用服务器的方...
Angel_YY 2018-06-18 11:08:01 浏览量:7704
 OneNET平台MQTT协议分析