电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
论坛
问答
试用
文章
评测
样片
本菜又出来装逼了,今天教你如何将一张图片转换成pcb中图形,效果图如下,这俺们协会图标,为了做板子装逼,顺便做做广告就这样玩了 ////////////////分界线,下面教程//////////////// 1.首先要有个软件,在帖子附件中有共享,虽然不知道这软件哪个哥哥做,但在这里表示感谢,要不然俺...
tianmingnm 2014-11-12 20:49:46 浏览量:2347
工程师在购买剃须刀时候虽然很多时候奔着品牌去,但也要看看性能如何。   且看这款剃须刀和你们手中性能有没有差别: ◆1小时快速恒流充电,充满后涓流 ◆充电保护控制,防止过充电,损坏电池 ◆避免过放电,vb
挥笔写忆 2015-08-20 15:24:26 浏览量:556
传统步进电机脉冲控制用一对相位差90度方波来驱动步进电机a、b相线圈电流,以达到定向转动。     以a相线圈通电超前b相90度时,方向为正。当线圈b相超前a相90度通电时,电机反方向转。控制两相线圈导通脉冲相位就能控制步进电机转向。每1/4周期电机行进一个步进角0.9度。通过控制脉冲频率就可以控制...
Alax 2013-08-22 09:09:22 浏览量:2724
【项目四】基于嵌入式cpumcu定制设计 【类别】ic设计 【项目进展情况】已开始 上海灵动微电子有限公司一家专注于mcu芯片设计与mcu应用方案定制化服务公司,被中国工业及信息化部及上海市信息化办公室认定集成电路设计企业。自2011年3月成立至今,灵动微电子已经成功为客户完成十余款mcu产品定制设...
gtrjolin1986 2014-09-29 13:25:18 浏览量:1250
刚做好一个下推式磁悬浮装置~需要外围东西很少,用arduino uno控制,l298n驱动四个线圈电磁铁,配合霍尔传感器就能悬浮了,演示效果看视频。源代码先传上,等过几天有空了来更新详细教程,包括原理介绍,线圈绕制,电路连接等都会发上来。 来补坑写教程了。。。。 首先介绍一下原理,其实很简单,磁力对悬...
秦时茗月 2015-04-01 11:41:10 浏览量:3387
刚做好一个下推式磁悬浮装置~需要外围东西很少,用arduino uno控制,l298n驱动四个线圈电磁铁,配合霍尔传感器就能悬浮了。 首先介绍一下原理,其实很简单,磁力对悬浮物控制,其基本原理:霍尔传感器在浮子正下方,当检测到浮子向左运动时,两边线圈一个吸一个拉,把它推向右;反之如...
gtrjolin1986 2014-09-19 09:38:04 浏览量:28771
   从事开发工作10年左右时间,一直从事单片机嵌入式开发接触过许多软硬件,如时下比较火三大开源硬件raspberry pi,beaglebone和arduino,体会到了它们强大支持和开源精神。后来从事linux开发,接触了openwrt系统,便喜欢上了这个系统,一直在学习和使用。从最早开始折腾路由器开始,不停刷机升级,安装软件来...
wsxiaoping3 2016-02-02 16:02:52 浏览量:1826
   从事开发工作10年左右时间,一直从事单片机嵌入式开发接触过许多软硬件,如时下比较火三大开源硬件raspberry pi,beaglebone和arduino,体会到了它们强大支持和开源精神。后来从事linux开发,接触了openwrt系统,便喜欢上了这个系统,一直在学习和使用。从最早开始折腾路由器开始,不停刷机升级,安装软件来...
wsxiaoping3 2016-02-02 16:02:52 浏览量:1837
   从事开发工作10年左右时间,一直从事单片机嵌入式开发接触过许多软硬件,如时下比较火三大开源硬件raspberry pi,beaglebone和arduino,体会到了它们强大支持和开源精神。后来从事linux开发,接触了openwrt系统,便喜欢上了这个系统,一直在学习和使用。从最早开始折腾路由器开始,不停刷机升级,安装软件来...
wsxiaoping3 2016-02-02 16:02:52 浏览量:1797
树莓派计算机系列新增两种无线连接产品。这两种新模块分别采用wi-fi和小功率射频技术构建而成,为移动设备等要求低成本、低功耗、小型化解决方案应用提供无线接口能力。 无线nano usb适配器 第一种模块来自edimax无线nano usb适配器,通过树莓派usb端口中插入微型适配器,来提供符合ieee8...
gtrjolin1986 2014-04-01 09:32:19 浏览量:2028
本节介绍如何使用pwm方式控制rgb led灯。 注意:本系列教程需采用本人前述章节中所述开发环境来开发以及烧写。 rgb led封装内有三个led,一个红色,一个绿色,一个蓝色。通过控制各个led亮度,可以得到任何想要颜色。 小e采用共阳rgb led,共有4个引脚,一个电源引脚,接3.3v; 其余三个为led(一个红色,一个...
07075868 2016-03-19 11:18:58 浏览量:721
     在真正开始玩nanopi2 之前先来看看开发板硬件部分,这里主要根据官方提供原理图文档简单看下可能要用到一些资源部分和硬件电路 1.mcu 4418处理器:         开发板采用cortex-a9架构四核s5p4418处理器(主频1.4ghz),和友坚三星s5p4418使用同款处理器,它能在频率超过1ghz设...
静水竹林 2015-12-15 12:05:13 浏览量:1599
默认godroid固件底部没有按钮栏,如果需要切换程序到主界面然后再去选择,有些程序还需要退出才能选择其他程序,对于需要多任务时候就不很方便,另外有时需要调节系统音量也需要到系统设置里面设置这个会比较麻烦,目前解决方法安装一个按钮救星第三方按钮栏软件,安装之后打开如下图 使用效果图如下图...
辛迪可乐 2016-01-19 22:34:24 浏览量:604
描述 此参考设计使用交流电源运行无刷直流吊扇控制完整解决方案。它采用 drv10983 24v 三相电机驱动器通过正弦电流和无传感器控制方式来驱动电机。ucc28630 将 90-265 vac 转换为 24 vdc。msp430g2201 超值系列处理器对用于速度控制红外信号进行解码。附带固件可用于轻松集成基于 nec 传输协议标准红外...
chen0000009 2016-03-11 08:29:16 浏览量:305
汽车车身控制器用于监视和控制与车身(例如车灯、车窗、门锁)相关功能并像 can 和 lin 网络网关那样工作。负载控制可以直接来自 dbm 或者通过 can/lin 与远程 ecu 通信。车身控制器通常融入了遥控开锁和发动机防盗锁止系统等 rfid 功能。 电源管理:电源同 12v 或 24v 网板相连接,上/下调节电压以适用于 dsp、uc、...
Alax 2014-12-29 16:31:09 浏览量:903
adau1452/adau1451/adau1450 获得汽车认证音频处理器,数字信号处理能力远超早先sigmadsp®器件。 其硬件架构经过重构,针对高效音频处理做了优化。 音频处理算法采用逐样本和逐模块范式实现,它们可在信号处理流程中同时执行,方法使用图形编程工具sigmastudio™。 与前几代sigmadsp所需指令相...
Alax 2014-11-06 09:34:05 浏览量:2512
简介:本指南(capsense®设计指南)介绍如何使用cy8cmbr3xxx capsense控制器系列设计电容触摸传感应用。cy8cmbr3xxx capsense控制器系列产品具有绝佳信噪比、一流防水性能,并能连接各类外形传感器,如按键、滑条和接近传感器。本指南说明了cy8cmbr3xxx capsense设计流程、设计工具、设计注意事项和性能调试。
蒙娜丽石 2015-11-20 14:09:14 浏览量:325
verilog hdl一种用于数字逻辑电路设计语言。用verilog hdl描述电路设计就该电路verilog hdl模型。verilog hdl既一种行为描述语言,也一种结构描述语言。也就说,既可以用电路功能描述,也可以用元器件和它们之间连接来建立所设计电路verilog hdl模型。verilog模型可以实际电路不同级别抽象...
执念 2015-03-30 19:12:46 浏览量:994
低频功率放大器         跟紧2015脚步,我们迈入了2016和风里,感谢有ickey社区2015,感谢一路有你。         抒情完毕,进入正题。12月月赛和以往月赛一样精彩,但和以往不同这次低频功率放大器却有别于之前偏重于嵌入式微控制器类题目,更侧重于模拟电子线路知识。这更加...
lwy 2015-12-31 20:42:24 浏览量:1082
路灯智能监控系统         啦啦啦。。。ueal正赛部分完美结束,有幸获得了季后赛参赛资格,这可很值得欢欣鼓舞地。         本轮赛题可以说很有现实意义,这个智能路灯监控系统考题可以说时结合了新颖以及实用性,最重要环保特性。首先智能可调,光控节能能够保证每个灯都能够在最合...
lwy 2015-11-20 22:35:03 浏览量:710