电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
论坛
问答
试用
文章
评测
样片
软件版本:proteus8.1                     iar for 430 5516 软件链接:软件合集 1、打开iar软件,新建工程 2、添加c工程 3、添写工程文件名称 4、打开头文件夹 5、填写头文件名称 6、右键工程打开工程选项卡 7、修改工程目标驱动 ...
武力戡乱 2015-03-05 07:34:29 浏览量:832
      通常按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开瞬间均伴随有一连串抖动,为了不产生这种现象而作措施就按键消抖。   抖动时间 抖动时间长短由按键机械特性决定,...
ww4160 2014-04-16 12:21:40 浏览量:5757
      通常按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开瞬间均伴随有一连串抖动,为了不产生这种现象而作措施就按键消抖。   抖动时间 抖动时间长短由按键机械特性决定,...
ww4160 2014-04-16 12:21:40 浏览量:5885
51单片机学习6-- 1相2线直流电机 因为51单片机io输出电流难以到达驱动电机,因此需要加一个驱动ic(uln2003系列)驱动小型电机 需要注意protues仿真中uln2003输出需要加上拉电阻 原理图如下: 下面例子控制电机转身用延时函数来实现 程序如下: #include  #defin...
ww4160 2014-04-11 13:23:54 浏览量:2185
51单片机学习6-- 1相2线直流电机 因为51单片机io输出电流难以到达驱动电机,因此需要加一个驱动ic(uln2003系列)驱动小型电机 需要注意protues仿真中uln2003输出需要加上拉电阻 原理图如下: 下面例子控制电机转身用延时函数来实现 程序如下: #include  #defin...
ww4160 2014-04-11 13:23:54 浏览量:2232
很好用,这我以前学习单片机时候用过哦    
力洪 2013-07-03 13:14:25 浏览量:1815
 1、关于加速度计转换系数                 要制作自平衡车,角度和角速度计算就很重要。关于加速度计和陀螺仪使用就不多说了,他们一个用来测加速度计,一个用来测角速度。                 把从加速度计读出来数据转换成角度,要乘以一个比例系数。在转换成角度角度过程中,可...
Alax 2013-09-10 13:32:49 浏览量:2355
//*****由于昨天码千字贴被吃掉了,今天重新码一点。很呵呵。我每码100字保存一次好了。*****// 这次,我使用nxp四色板平台,实现了    方波信号发生,ad等时间间距采样,fft信号处理和lcd波形显示 首先,我将对傅立叶变化进行介绍: 以下我见过关于傅立叶变化最简明生动解释 ***************...
Oine 2016-04-17 11:53:16 浏览量:2225
    前几天刚刚把那两个烦人灯给关上,现在还得打开,没办法,谁让它们测试gpio最好办法呢。当然,这次再打开,可不把fex文件再恢复回去,而直接操作gpio寄存器,可以人为地控制开关、闪烁,也就所谓单片机 “hello world”了。     要在linux下直接操作寄存器,需要先映射内存,获取寄存器虚拟地...
LiuYang 2015-09-23 21:31:20 浏览量:798
    前几天刚刚把那两个烦人灯给关上,现在还得打开,没办法,谁让它们测试gpio最好办法呢。当然,这次再打开,可不把fex文件再恢复回去,而直接操作gpio寄存器,可以人为地控制开关、闪烁,也就所谓单片机 “hello world”了。     要在linux下直接操作寄存器,需要先映射内存,获取寄存器虚拟地...
LiuYang 2015-09-23 21:31:20 浏览量:769
在单片机开发过程中,必然会涉及到一个延时程序编写问题,而一般做法一个for循环里去减一个很大数,直到为0,则延时完成,那个数值则根据时钟频率和指令运行周期,估算出来,不够精确。其中常用一种方法,在keil中设定好时钟频率,然后通过软件仿真试来算延时时间,以达到较精确定时。但这种方法一般都...
gjianw217 2015-09-24 00:07:41 浏览量:800
在单片机开发过程中,必然会涉及到一个延时程序编写问题,而一般做法一个for循环里去减一个很大数,直到为0,则延时完成,那个数值则根据时钟频率和指令运行周期,估算出来,不够精确。其中常用一种方法,在keil中设定好时钟频率,然后通过软件仿真试来算延时时间,以达到较精确定时。但这种方法一般都...
gjianw217 2015-09-24 00:07:41 浏览量:840
在单片机开发过程中,必然会涉及到一个延时程序编写问题,而一般做法一个for循环里去减一个很大数,直到为0,则延时完成,那个数值则根据时钟频率和指令运行周期,估算出来,不够精确。其中常用一种方法,在keil中设定好时钟频率,然后通过软件仿真试来算延时时间,以达到较精确定时。但这种方法一般都...
gjianw217 2015-09-24 00:07:41 浏览量:810
首先,感谢ickey给在下一个机会,让我可以试用微雪旗下xnucleo这款性价比极高,性能也十分优秀开发板,在此,对此次活动工作人员再次表示感谢。 随着冬天到来,天气逐渐地变冷了不少。由其,最近几天广州,不仅天气冷,还下起了雨,给人一种 湿冷湿冷感觉! 于,结合我手上dht11温湿度传感器...
空白12 2015-12-06 16:18:05 浏览量:535
dma   简介: dma,全称为:direct memory access,即直接存储器访问。dma传输方式无需cpu直接控制传输,也没有中断处理方式那样保留现场和恢复现场过程,通过硬件为 ram 与 i/o 设备开辟一条直接传送数据通路,能使cpu效率大为提高。 stm32 最多有2个dma控制器(dma2仅存在大容量产品中), dm...
蒙娜丽石 2015-12-17 13:43:38 浏览量:705
不管太阳再怎么毒辣,即使资料再怎么难消化,只要一杯特凉豆浆送入口中,笔者也能取回冷静心境。喝完一杯豆浆以后,笔者望着天花板开始思考,谁规定模块非仿真不可?然而模块为什么又要仿真呢?思考之际,笔者随手翻看参考书,然后遇见这样一张流程图(concept flow chart)。 图6.4.1 仿真流程图。 如...
US 2016-01-31 13:23:58 浏览量:1071
不管太阳再怎么毒辣,即使资料再怎么难消化,只要一杯特凉豆浆送入口中,笔者也能取回冷静心境。喝完一杯豆浆以后,笔者望着天花板开始思考,谁规定模块非仿真不可?然而模块为什么又要仿真呢?思考之际,笔者随手翻看参考书,然后遇见这样一张流程图(concept flow chart)。 图6.4.1 仿真流程图。 如...
US 2016-01-31 13:23:58 浏览量:1030
不管太阳再怎么毒辣,即使资料再怎么难消化,只要一杯特凉豆浆送入口中,笔者也能取回冷静心境。喝完一杯豆浆以后,笔者望着天花板开始思考,谁规定模块非仿真不可?然而模块为什么又要仿真呢?思考之际,笔者随手翻看参考书,然后遇见这样一张流程图(concept flow chart)。 图6.4.1 仿真流程图。 如...
US 2016-01-31 13:23:58 浏览量:1072
不管太阳再怎么毒辣,即使资料再怎么难消化,只要一杯特凉豆浆送入口中,笔者也能取回冷静心境。喝完一杯豆浆以后,笔者望着天花板开始思考,谁规定模块非仿真不可?然而模块为什么又要仿真呢?思考之际,笔者随手翻看参考书,然后遇见这样一张流程图(concept flow chart)。 图6.4.1 仿真流程图。 如...
US 2016-01-31 13:23:58 浏览量:1161
图6.6.1 exp34建模图。 笔者在上一个小节为主动设计举例一个简单例子,然而例子实在太简单了,为了继续刺激读者成长,这一回笔者稍微提高难度。如图6.6.1所示,那exp34建模图,模块之间除了更多信号以外,exp34也多了一块虚拟硬件,读者觉得很刺激呢?控制模块与ram模块之间多了 ram_wren信号还有 ram_wrd...
US 2016-01-30 16:05:06 浏览量:413