电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
问答
试用
文章
评测
下载
样片
避免你误入歧途的“QuartusII错误总汇 verilog经典实验以及quartusFPGA设计手册 【FPGA设计】《FPGA设计高级技巧》全系列讲述 已修改免费
US 2015-11-29 09:48:14 浏览量:504
一位孜孜不倦求学者的攀登之路(同学发来的笔记文档) 关于约束,时序分析的问题汇总 关于约束、时序分析的问题,比如:如何设置setup,hold时间?如何使用全局时钟和第二全局时钟(长线资源)?如何进行分组约束?如何约束某部分组合逻辑?如何通过约束保证异步时钟域之间的数据交换可靠?如何...
starysoul 2015-11-24 21:48:39 浏览量:482
一位孜孜不倦求学者的攀登之路(同学发来的笔记文档) 关于约束,时序分析的问题汇总 关于约束、时序分析的问题,比如:如何设置setup,hold时间?如何使用全局时钟和第二全局时钟(长线资源)?如何进行分组约束?如何约束某部分组合逻辑?如何通过约束保证异步时钟域之间的数据交换可靠?如何...
starysoul 2015-11-24 21:48:35 浏览量:495
    本人有幸在某某地方得到一块FPGA开发板,它是我走进FPGA的大门的第一块板卡,对它有着比较深刻的感情,那么我来晒晒给大家看看吧!       它有一个呆萌的名字叫做小脚丫StepFPGA 开发板, 是目前最迷你的一款FPGA开发板,只有一根食指大小。核心芯片用的是Lattice公司的MXO2系列FPGA,内嵌Flash模块...
说的对 2015-11-18 15:46:24 浏览量:901
        BeMicro MAX 10是一款基于Altera MAX 10(10M08DAF484)的入门级FPGA评估套件。MAX 10 FPGA采用TSMC的55 nm嵌入式NOR闪存技术制造,支持瞬时接通功能。MAX 10 FPGA包含完整的FPGA功能,如DSP、ADC、Nios II 嵌入式处理器软核、内存控制器等。开发板搭载了丰富的外设:8MB SDRAM、加速度传感器、DAC、温度传...
999 2015-11-10 18:24:00 浏览量:1400
Xilinx的FPGA加载时是需要时间的 FPGA在上电后配置加载的过程如下: 1 等待上电复位信号(power on reset),这里的上电指FPGA的各类电源,如VCCINT,VCCAUX,VCCO等; 2 等待初始化(INIT)完成信号,只有初始化完成后,才能接受从外部(PROM或JTAG或其他方式)发送来的bit流逻辑文件; 一般地,...
Eagleson 2015-11-09 18:14:28 浏览量:851
注:本贴不对教程类与资料类进行收集,以时间后排在前面 选择VHDL还是Verilog  FPGA引言  矢量控制速度伺服系统里的FPGA
US 2015-11-09 16:59:38 浏览量:373
Xilinx FPGA入门连载(特权同学所有) 2016年2月1日 第一篇:基础 第一部分:准备 ISE14.6安装 Modelsim SE 10.1安装 文本编辑器notepad++安装 ISE中使用notepad++的关联设置 ISE与Modelsim联合仿真之库编译 ISE与Modelsim联合仿真之关...
US 2015-10-11 14:49:58 浏览量:2719
    上篇贴子说过回读回的数据跟bit文件有一些地方时对不上的,经过思考我觉得可能是通信的问题,于是修改了上位机的程序,每读回一页数据就跟bit文件相应的部分进行比较,不相同就重新回读,直到相同才读下一次的数据,并把本次回读数据写入文件,经过一番验证发现:              flash里...
630183258 2015-08-30 14:28:25 浏览量:460
第一批赠送会员名单:FPGA新手 、芯人2013 FPGA新手 :新人入驻社区,从跟帖回复的次数可以了解改会员对这次活动的积极性和喜爱程度很高,希望拿到书后能学到更多有关FPGA的东西,然后来社区发主题帖,把经验和心得分享给社区内的其他小伙伴~ 芯人2013 :也是新人入驻社区,从写“灵异”事件...
阿尔法 2015-08-25 17:18:58 浏览量:6819
基本时序路径 本文节选自特权同学的图书《FPGA设计实战演练(逻辑篇)》 配套例程下载链接:http://pan.baidu.com/s/1pJ5bCtt   对于FPGA内部而言,通常有四大类的基本时序路径,即: ●  内部寄存器之间的时序路径,即reg2reg  ●  输入引脚到内部寄存器的时序路径,即pin2reg ...
特权同学 2015-07-20 14:48:33 浏览量:483
【FPGA从0开始学】序列之1:FPGA的驱动走起   ************************************************************************           转载请注明: 版权所有!否则后果自负,谢谢合作!! 淘宝:http://shop109429489.taobao.com/?spm=a1z10.1-c.0.0.pt2dA1 ***...
冰山一角 2015-07-09 21:19:37 浏览量:546
第 0 篇     【FPGA从0开始学】序列之0:FPGA的靓照篇 第一篇     【FPGA从0开始学】序列之1:FPGA的驱动走起 第二篇     【FPGA从0开始学】序列之2:Quartusii软件搭建好
冰山一角 2015-07-05 22:15:04 浏览量:741
如题,打算拿手里的十万逻辑资源Altera板子交换Xilinx板子,现在只打算换Xilinx的FPGA的板子, 可以是自己做的或者是其他,但一定要好使的!   板子是自己设计的,也是自己焊接的, 焊接的比较丑。大神勿喷。 因有小伙伴想学习Xilinx的FPGA,现在打算拿出两块板子,和有需要的朋友交换一下。 现在只...
high鸟 2015-06-19 21:46:30 浏览量:698
字库芯片电路设计 本文节选自特权同学的图书《FPGA设计实战演练(逻辑篇)》 配套例程下载链接:http://pan.baidu.com/s/1pJ5bCtt            最后,我们再来看看字库芯片U1,该芯片的控制也不难,4个信号是标准的Spi接口,它连接到FPGA的I/O引脚上。FPGA通过Spi接口读出所需字模数据即可...
特权同学 2015-06-09 10:44:55 浏览量:607
CH376之USB电路设计 本文节选自特权同学的图书《FPGA设计实战演练(逻辑篇)》 配套例程下载链接:http://pan.baidu.com/s/1pJ5bCtt            CH376S是文件管理控制芯片,用于单片机/DSP/MCU/MPU系统读写U盘或者SD卡中的文件。CH376S支持USB设备方式和USB 主机方式,并且内置了USB通讯...
特权同学 2015-05-24 20:54:33 浏览量:554
USB和UART串口子板设计概述 本文节选自特权同学的图书《FPGA设计实战演练(逻辑篇)》 配套例程下载链接:http://pan.baidu.com/s/1pJ5bCtt            SF-USB子板主要有两颗和USB相关的芯片,CH376S是集成了USB PHY/USB Device/USB Host的不折不扣的USB芯片,它通过一条并行总线连接到F...
特权同学 2015-05-22 08:46:02 浏览量:583
LCD显示驱动子板详细设计 本文节选自特权同学的图书《FPGA设计实战演练(逻辑篇)》 配套例程下载链接:http://pan.baidu.com/s/1pJ5bCtt   SF-LCD与FPGA引脚定义如表3.4所示。(特权同学,版权所有) 表3.4 SF-LCD与FPGA引脚定义 分类 ...
特权同学 2015-05-18 08:47:58 浏览量:442