今日热门

8

回答

FPGA可以跑AI算法么

明有几时有 2019-04-24 阅读:1638

5

回答

正弦波的点怎么取?这个表是怎么取的????​​​

無唁苡對 2019-01-25 阅读:972

0

回答

LCMXO2-1200HC-4TG100I 有没有可以替代或者性能更好的 FPGA?

John0718 2018-12-07 阅读:671

2

回答

Xilinx的DDR3核的用户接口是否有优先级?(配置为6口32bit模式)

夕阳血 2018-11-27 阅读:711

11

回答
数据存储错误 FGPA/CPLD

我用ip核生成一个,往ram里边存数据,读出来的数据总是变化,这是哪里的问题??​                            

無唁苡對 2018-11-06 阅读:845

5

回答
fpga存储数据 FGPA/CPLD

我用fpga写了一个模块,例化成5个串口模块。用IP核生成5个ram。我想将5个串口收到的数据分别给到5个ram。如果5个串口的数据同时来,我在进程里将这些数据分别给到对应的ram里,连续不断发20个数据,理论上这20个数据应该存到对应ram里并且不变。但是存储的数据是一直变化的,这是为什么????第一张图的数据存储是对的,但是我连续发的时候两张图的存储总是变化,最后一个数据总是跑到第一个数据,这是为什么????

無唁苡對 2018-11-01 阅读:903

5

回答

数据接收应该为0001----1011,这是哪儿的问题???

無唁苡對 2018-10-30 阅读:842

0

回答

在使用vivado对ip使用ooc进行综合一直会报:[Timing 38-316] Clock period '20.000' specified during out-of-context synthesis of instance 'mb_system/mb_system/microblaze_0_local_memory/lmb_bram' at clock pin 'clka' is different from the actual clock period '10.000' this can lead to different synthesis results.我如何在ip生成过程给他们指定时钟频率呢?

US 2018-09-28 阅读:2841

0

回答

我把数据写到ram,然后读出来。理论上数据应该是写入的0x0001到0x0014,读出来的是0x0001到0x0014.但是我第一次写和第二次写读出的数据都不正确。第一次是程序刚下载到开发板读取的,之后是第二次及以后读取的,这是哪儿的问题????

無唁苡對 2018-09-26 阅读:772

0

回答

如上图所示的X1Y8,生成一个IP那么这个是固定的,而我需要实例化4个,并且分别为x1y8 x1y12 x0y12和x0y16。如何可以我只添加一个ip实现?

US 2018-09-26 阅读:1502

0

回答

vhd文件:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity rxd is    generic(data_bits:integer:=8);    port(bclk_inrxd_in:in std_logic; rx_done:out std_logic;         rx_buffer:out std_logic_vector(7 downto 0));end rxd;architecture beheavior of rxd istype states is(r_noner_startr_centerr_waitr_sampler_stop);signal state:states:=r_none;signal rxd_sync:std_logic;begin    process(rxd_in)    begin        if rxd_in='0' then rxd_sync<='0';        else rxd_sync<='1';        end if;    end process;    process(bclk_inrxd_sync)    variable count:std_logic_vector(3 downto 0);    variable r_cnt:integer:=0;    variable buf:std_logic_vector(7 downto 0);    begin        if bclk_in'event and bclk_in='1' then            case state is when r_none=> if count="1110" then state<=r_start; rx_done<='0'; count:="0000"; else count:=count+1; state<=r_none; end if;                when r_start=>                    if rxd_sync='0' then                         state<=r_center;                    else  state<=r_start;                    end if;                when r_center=>                    if rxd_sync='0' then                        if count="0100"then               --排除噪音的干扰                            state<=r_wait;                            count:="0000";                        else                            count:=count+'1';                            state<=r_center;                        end if;                    else                        state<=r_start;                    end if;                when r_wait=>                    if count="1110" then                    --数据位和奇偶校验位将每隔16个bclk周期被采样一次(即每一个波特率时钟被采样一次)                        if r_cnt=data_bits then                            state<=r_stop;                        else                           state<=r_sample;                        end if;                        count:="0000";          --等待计数到15个bclk,在16个bclk进入R_SAMPLE状态进行数据位的采样检测                    else                        count:=count+'1';                        state<=r_wait;                    end if;                when r_sample=>                    buf(r_cnt):=rxd_sync;     --接收发送数据                    r_cnt:=r_cnt+1;                    state<=r_wait;                when r_stop=>      r_cnt:=0;                    rx_buffer<=buf;   rx_done<='1';   state<=r_none;                when others=>                    state<=r_none;            end case;                end if;    end process;end beheavior;tb文件:LIBRARY ieee;                                               USE ieee.std_logic_1164.all;                                ENTITY rxd_vhd_vec_tst ISEND rxd_vhd_vec_tst;ARCHITECTURE rxd_arch OF rxd_vhd_vec_tst IS-- constants                                                 -- signals                                                   SIGNAL bclk_in : STD_LOGIC;SIGNAL rx_buffer : STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL rx_done : STD_LOGIC;SIGNAL rxd_in : STD_LOGIC;COMPONENT rxd PORT ( bclk_in : IN STD_LOGIC; rx_buffer : out STD_LOGIC_VECTOR(7 DOWNTO 0); rx_done : out STD_LOGIC; rxd_in : IN STD_LOGIC );END COMPONENT;BEGIN i1 : rxd PORT MAP (-- list connections between master ports and signals bclk_in => bclk_in rx_buffer => rx_buffer rx_done => rx_done rxd_in => rxd_in );-- bclk_int_prcs_bclk_in: PROCESSBEGINLOOP bclk_in <= '0'; WAIT FOR 500 ps; bclk_in <= '1'; WAIT FOR 500 ps; IF (NOW >= 10000000 ps) THEN WAIT; END IF;END LOOP;END PROCESS t_prcs_bclk_in;-- rxd_int_prcs_rxd_in: PROCESSBEGINLOOP rxd_in <= '0'; WAIT FOR 10000 ps; rxd_in <= '1'; WAIT FOR 10000 ps; IF (NOW >= 10000000 ps) THEN WAIT; END IF;END LOOP;END PROCESS t_prcs_rxd_in;END rxd_arch;​

無唁苡對 2018-08-17 阅读:1152

1

回答

fifo是我用IP核生成的,data_out对应FIFO的写入数据,wrcmd对应FIFO的写使能;rdcmd对应FIFO的读使能;data_in对应FIFO的输出数据;我使能rcmd,通过仿真发现FIFO里面没有数据。

無唁苡對 2018-08-16 阅读:864

0

回答

当我的发送使能三个tcmd和ccmd同时来的时候,数据发送一直不对,试了好多方法,但是不管用,谁能帮我看看,写一下改的程序,谢谢

無唁苡對 2018-08-04 阅读:864

0

回答
vhdl双向口 FGPA/CPLD

qout,qcmd是输入输出双向口,我这样定义后我接收不到数据,为什么??

無唁苡對 2018-07-27 阅读:735

6

回答

有没有lattice下载的时序图?或者下载器的原理图和程序?

噜啦啦噜啦啦 2018-06-21 阅读:827

2

回答

做zynq的FSBL前,我在vivado内创建硬件平台,从板子的原理上看到ps的bank500的BANK io电压是1.8V,而如果我在vivado内的zynq process system内配置为3.3V,如下图所示:那么bank500的io输出高电平电压是多少,输入低电平电压是多少,输入检测电压在什么范围内为高,又在什么范围内检测为低呢?

US 2018-05-06 阅读:3982

0

回答

如题,我通过SDK烧录QSPI,给zynq重新上电后,qspi打印了FSBL的log,这表明bootrom已经成功读取到QSPI的数据并拷贝到ps ram内,且移交到FSBL代码,但是FSBL在读取QSPI ID时打印出了3F FF FF,这明显不对,之后qspi读取application的offset,却读到FFFF57FF,之后DATA ABORT HANDLE,fsbl自动软重启,之后停留在Partition Count: 14Invalid Partition CountPartition Header Load FailedFSBL Status = 0xA00E这个log,很郁闷啊?这怎么解决,fsbl没法移交到application。

US 2018-05-05 阅读:3013

9

回答

FPGA开发板,谁能推荐一个,偏向做控制,CAN,485之类

aaaxxxrrr 2017-12-28 阅读:923

3

回答

ALTERA FPGA直接操作PHY芯片,然后数据转换成串流,有好的方案没?主要是考虑到速度。所以没有使用niosII。看下有大神简单提供下思路

果果浆0922 2017-12-21 阅读:1066
  • 帮助人数
  • 0
  • 获得赞数
  • 0
  • 一周积分
  • 0

问题达人换一批

本月问答

排名
用户名
问答积分
< >