电子芯吧客
电子芯吧客
电子工程师技术服务社区
全部
问答
试用
文章
评测
下载
样片
FPGA是今天许多要求最严苛的嵌入式系统设计的重要元件。由于FPGA器件的*大幅下跌,加上为设计人员带来的便利性和灵活性,FPGA在竞争激烈的全球市场上嵌入式设计产品的应用中日益增加并不足为奇。通过建立市场实力、了解客户偏好、争取客户忠诚度、发挥品牌效益和取得高利润来抢先进入市场,是推动大多数业务取得成功的关键...
嵌入式人生 2014-07-30 15:13:20 浏览量:869
 最近在调试用HPI由STM32和DSP通信,可是一直都没有调通,读写都不对,我就是按照手册上给的读写时序来写的程序,可是读写怎么就都不对呢?那个做过这个嘛?过来讨论一下,看看是那里有问题
liudd 2013-05-04 17:27:00 浏览量:864
无沦是用离散逻辑、可编程逻辑,还是用全定制硅器件实现的任何数字设计,为了成功地操作,可靠的时钟是非常关键的。设计不良的时钟在极限的温度、电压或制造工艺的偏差情况下将导致错误的行为,并且调试困难、花销很大。 在设计PLD/FPGA时通常采用几种时钟类型。时钟可分为如下四种类型:全局时钟、门控时钟、多级逻辑时钟...
Eagleson 2016-04-27 15:39:20 浏览量:2172
一位孜孜不倦求学者的攀登之路(同学发来的笔记文档) 关于约束,时序分析的问题汇总 关于约束、时序分析的问题,比如:如何设置setup,hold时间?如何使用全局时钟和第二全局时钟(长线资源)?如何进行分组约束?如何约束某部分组合逻辑?如何通过约束保证异步时钟域之间的数据交换可靠?如何...
starysoul 2015-11-24 21:48:39 浏览量:481
一位孜孜不倦求学者的攀登之路(同学发来的笔记文档) 关于约束,时序分析的问题汇总 关于约束、时序分析的问题,比如:如何设置setup,hold时间?如何使用全局时钟和第二全局时钟(长线资源)?如何进行分组约束?如何约束某部分组合逻辑?如何通过约束保证异步时钟域之间的数据交换可靠?如何...
starysoul 2015-11-24 21:48:35 浏览量:495
  在max7000系列中, 只允许有两个输出使能信号, 可在设计中却存在三个, 每次编译时出现“device need too many output enable signal”. 如果不更换器件(使用的是max7064lc68). 如何解决这个问题
qiuqiu 2015-07-09 16:11:14 浏览量:634
FPGA与CPLD(特权同学版权所有) 本文节选自特权同学的图书《FPGA设计实战演练(逻辑篇)》(特权同学版权所有) 配套例程下载链接:http://pan.baidu.com/s/1pJ5bCtt 尽管很多人听说过FPGA和CPLD,但是关于FPGA与CPLD之间的区别,了解的人可能不是很多。虽然FPGA与CPLD都是“可反复编程的逻辑器件”,但是在技术上却有...
特权同学 2015-03-12 14:14:45 浏览量:527
        我尽量描述清楚我的问题,因为我是直接编译从友晶网站下载到的例程,所以工程就不附在这里,工程名称是“SoCKit_DDR3_RTL_Test” 。 具体的问题是编译之后报告了相关DDR3路径的时序问题,如下图所示:   我发现编译报告的TimeQuest区域有个“Timing Closure Recommendation”,如下...
coyoo 2015-03-03 09:14:41 浏览量:1139
由于QII12.1不支持CycloneV,所以下载了最新的QII14.1,。安装的时候遇到了下面的问题: 具体的解决方法,是直接点Ignore忽略就好。 安装完之后,发现还是可以使用的。
coyoo 2015-02-03 12:34:00 浏览量:1306
记录一下使用SignalTapII探测器件上电初期运行状况。        SignalTapII可以实时调试FPGA,给FPGA设计调试带来了极大的便利。通常情况下,SignalTapII只能探测当其Run起来以后器件运行情况,其未Run之前的情况就无法探测得到。往往我们有时候非常需要了解系统中上电伊始某些信号的状况(比如有些...
coyoo 2014-07-04 13:35:24 浏览量:1461
概述:          总结一些使用QuartusII软件的小经验,有些技巧性的东西很久不用或者不是经常用到很容易就忘掉,等到用到再到处查找确实费时费力。        1、使用PinPlanner观察Pad         Altera的引脚分配经常会在某些特别标准中存在一些...
coyoo 2014-07-03 09:10:25 浏览量:1433
为了避免纯粹的同步复位和纯粹异步复位的问题,可以使用一种叫做同步化的异步复位,我们称其为第三类复位。这种复位完全结合了异步复位和同步复位的优势,我们知道异步复位的优势是不参与数据路径,所以不影响数据路径速度,而复位几乎是瞬间起作用;而同步复位的优势是百分百地同步时序分析且具有抗噪声性能。这种复位其实...
coyoo 2014-04-11 09:31:24 浏览量:1877
概述 最近困扰笔者一个问题一直没有得到解决,行此文记录备查,同时在博客发布求助于大家,并契合本月测试测量之主题^0^。问题的大概情况是笔者一块板子通过JTAG下载程序的时候出现了问题,目前笔者一共生产了三块板子,只有一块板子出现下载问题。 硬件结构 笔者在多篇博文中介绍过单链多FPGA的结构...
coyoo 2014-03-17 16:30:32 浏览量:2739
我们知道一个JTAG链通过菊花链的方式可以挂多个FPGA或者CPLD,同时同一台电脑上可以同时插有多种,或者一种多根电缆的情况。那么象这种情况,在Virtual JTAG调试的时候如何处理呢?由于以前没有这样玩过,这次在实验室为了调试方便,在一台电脑上插了3根电缆,其中一根Byteblaster两根USB Blaster电缆,我们来看看Virtual J...
coyoo 2014-02-12 15:38:20 浏览量:1874
Altera助力动视元推出首款基于FPGA的智能交通视频分析解决方案,较之传统工控机或DSP的解决方案,基于FPGA的视频分析可极大提高侦测率和节省成本。 2013年10月29号,深圳 —— Altera公司 (NASDAQ: ALTR) 今天在2013年中国国际公共安全博览会 (安博会) 上宣布,北京动视元科技有限公司(简称:动视元)采用Altera基...
前功尽弃 2014-01-03 10:39:45 浏览量:887
         学习一样东西该怎么入手呢??一定是要从其背景开始。因为只有了解其背景,知道他的历史,知道他所属的范畴,才能够知道产生他的原因,以及他的生存空间。在他的大背景变化的时候,他一定也会变化发展,进化成另一种形态。这样做既能让我们掌握关于他的知识,又能跟上技术发展的步伐。这可能就是所谓的“前馈...
zhouzheng 2013-05-02 12:37:00 浏览量:709
编了一个程序,用quartus调用modelsim的时候出现了下面的这个错误。。。Error: (vsim-3389) E:/project/test2/simulation/modelsim/hm.vt(53): Port \'counter\' not found in the connected module (7th connection). 出问题的代码是这个位置: hm i1 ( .clk(clk), .data(data), .key(key), .pul...
力洪 2013-03-26 10:30:00 浏览量:997
STM32 Nucleo的板子带有Arduino接口,支持mbed开发环境,使用mbed开发跟Arduino有点类似,能够快速利用已有的资源完成设计,非常适合业余时间DIY小作品。在本帖中将分享快速使用mbed驱动OLED。 在mbed的code页面,有很多国内国外网友开源的代码,跟github有点像,为了快速完成设计,可以利用这些代码,加以修改,使...
fjjjnk1234 2016-05-11 15:56:45 浏览量:2427
Swift 近两年的发展势头非常的迅猛,而在它开源后,更是如井喷一样的势头,除了 iOS 平台,还支持了 Linux。 而今年下半年, Swift 3.0 也会随之发布。这次我就和大家聊一下 Swift 3.0 会有什么新东西吧。 Swift 专门为版本更新相关的文档创建了一个 Guihub 主页,地址是 https://github.com/apple/swift-evo...
zhangsf_chongza 2016-05-06 23:16:35 浏览量:571
        IAR第一个demo  本教程作者为ICkey网友@HelloWii ,回帖参与讨论、提问、分享,就能赢取超多丰厚奖励哦~   @HelloWii 也将随时和大家交流学习中的问题。(PS:本教程未经允许谢绝转载) 学教程,送奖...
HelloWii 2015-05-26 17:34:37 浏览量:2841