今日热门

8

回答

初学FPGA应该怎么入手有教程推荐一下

hangtao 2018-11-25 阅读:825

10

回答

FPGA软核会在掉电后消失吗

hangtao 2018-11-23 阅读:1420

9

回答

Altera FPGA如何做uart串口发送

lichangle 2018-11-23 阅读:983

11

回答
FPGA入门 FGPA/CPLD

FPGA学习,入门选用那种开发板比较好?

yuzhenhu 2018-11-22 阅读:882

15

回答

正点原子FPGA可以跑51软核么?

LoveMyDog 2018-11-21 阅读:1922

3

回答

报错信息如下:Device#1 LCMXO2-4000HC: Failed to verify the ID (Expected: 0x012BC043 Read: 0x00000000)

lichangle 2018-11-21 阅读:1181

13

回答

FPGA剩余的门怎么处理

hangtao 2018-11-21 阅读:783

5

回答
数字信号处理 FGPA/CPLD

可以使用FPGA实现FFT么,谁有代码呢

冷月清风 2018-11-21 阅读:720

7

回答

请问最高效的在FPGA上实现RISC-V内核的流程是什么?

ChinaXRY 2018-11-20 阅读:2784

10

回答

fpga可以集成mcu的软核 那么跟实际的单片机有什么区别

hangtao 2018-11-17 阅读:1531

6

回答

我用ip核生成一个ram,往里边存数据,读出来的数据总是变化最后一个数据会跑到第一个数据的位置,这是哪里的问题??​                ​                       

無唁苡對 2018-11-07 阅读:715

8

回答

Xilinx官网的一些问题的解决方案好晦涩,有没有其他有关于Xilinx FPGA的技术论坛?

夕阳血 2018-11-06 阅读:1089

11

回答
数据存储错误 FGPA/CPLD

我用ip核生成一个,往ram里边存数据,读出来的数据总是变化,这是哪里的问题??​                            

無唁苡對 2018-11-06 阅读:843

5

回答

用IP核生成一个ram,读写ram的时候地址,数据,使能应该注意什么时序????

無唁苡對 2018-11-02 阅读:1346

5

回答
fpga存储数据 FGPA/CPLD

我用fpga写了一个模块,例化成5个串口模块。用IP核生成5个ram。我想将5个串口收到的数据分别给到5个ram。如果5个串口的数据同时来,我在进程里将这些数据分别给到对应的ram里,连续不断发20个数据,理论上这20个数据应该存到对应ram里并且不变。但是存储的数据是一直变化的,这是为什么????第一张图的数据存储是对的,但是我连续发的时候两张图的存储总是变化,最后一个数据总是跑到第一个数据,这是为什么????

無唁苡對 2018-11-01 阅读:899

21

回答

FPGA一般使用什么语言开发?

stm1999 2018-10-31 阅读:2850

11

回答
数据存储 FGPA/CPLD

我想把多个串口收集来的数据存放到一个ram里,如果几个串口的数据同时来,应该怎么存放能让这几个串口的数据可以顺序存进ram????

無唁苡對 2018-10-31 阅读:801

14

回答

北斗GPS目前的大方向是什么

Michael_Beechan 2018-10-31 阅读:1005

12

回答

我想实现延时,本来用移位寄存器实现比较大的延时,但是延时太多,资源不够,我还有什么方法实现大的延时????

無唁苡對 2018-10-30 阅读:1732

6

回答

我用fpga写了一个串口接收模块,但是有时候接收时数据会发生错误,希望大家帮我看看代码,是哪儿的错误??? library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity rxd is generic(data_bits:integer:=8); port(bclk_inrxd_in:in std_logic; rx_done:out std_logic; rx_buffer:out std_logic_vector(7 downto 0)); end rxd; architecture beheavior of rxd is type states is(r_startr_centerr_waitr_sampler_stop); signal state:states:=r_start; signal rxd_sync:std_logic; signal rxd_fall:std_logic; begin process(bclk_in) begin if bclk_in'event and bclk_in='1' then rxd_sync<=rxd_in; if(rxd_sync='1' and rxd_in='0')then rxd_fall<='1'; else rxd_fall<='0'; end if; end if; end process; process(bclk_inrxd_in) variable count:std_logic_vector(3 downto 0); variable r_cnt:integer:=0; variable buf:std_logic_vector(7 downto 0); begin if bclk_in'event and bclk_in='1' then case state is when r_start=> if rxd_fall='1' then state<=r_center; rx_done<='0'; r_cnt:=0; else state<=r_start; rx_done<='0'; end if; when r_center=> if rxd_in='0' then if count="1001"then --排除噪音的干扰 state<=r_wait; count:="0000"; else count:=count+'1'; state<=r_center; end if; else state<=r_start; end if; when r_wait=> if count="1110" then --数据位和奇偶校验位将每隔16个bclk周期被采样一次(即每一个波特率时钟被采样一次) if r_cnt=data_bits then state<=r_stop; else state<=r_sample; end if; count:="0000"; --等待计数到15个bclk,在16个bclk进入R_SAMPLE状态进行数据位的采样检测 else count:=count+'1'; state<=r_wait; end if; when r_sample=> buf(r_cnt):=rxd_in; --接收发送数据 r_cnt:=r_cnt+1; state<=r_wait; when r_stop=> if rxd_in='1' then rx_buffer<=buf; rx_done<='1'; state<=r_start; else state<=r_start; end if; when others=> state<=r_start; end case; end if; end process; end beheavior;

無唁苡對 2018-10-30 阅读:803
  • 帮助人数
  • 0
  • 获得赞数
  • 0
  • 一周积分
  • 0

问题达人换一批

本月问答

排名
用户名
问答积分
< >