今日热门

5

回答

数据接收应该为0001----1011,这是哪儿的问题???

無唁苡對 2018-10-30 阅读:838

10

回答

CORTEX ​M0在用FPGA验证时,时钟约束在48MHz,感觉不太稳定。我用xilinx artix-7 FPGA。

licaijunzhuce 2018-10-29 阅读:2045

12

回答

如何解决线路过长引起的信号丢失?

圣君明主 2018-10-29 阅读:1489

8

回答

原子的新起点FPGA看了介绍,屏幕上有很多GUI里面有很多测试程序,这些耗费资源么,配套的是256MB的SRAM,如果是64MB的SRAM足够跑这些测试程序么?

megar 2018-10-25 阅读:731

6

回答
Quartus II13.1 FGPA/CPLD

Quartus II13.1的硬件支持包里没有Cyclone2代和1代。如果我要使用二代或者1代芯片是不是就不行。有没有什么办法解决

宫梓萱 2018-10-25 阅读:1503

6

回答
fpga实现串口 FGPA/CPLD

我每次通过串口线给这个串口接收模块发送20个8位数据,间隔100ms发送一次。但是中间会有一些数据接收错误,然后之后就又正确了,这是哪儿的问题???                        

無唁苡對 2018-10-24 阅读:818

12

回答

这个行业有没有前景?

zhaohuif 2018-10-22 阅读:1294

2

回答

qsys生成的文件怎么让他为vhd文件??

無唁苡對 2018-10-20 阅读:705

13

回答

硬件工程师需要掌握哪些技能比较好,我也知道所有都掌握最好,挑重点说,精力有限,fpga和硬件设计有关系么,需要掌握么

a0ae1aa513e0ae45 2018-10-19 阅读:1339

4

回答

Error (204012): Can't generate netlist output files because the file "D:/VHDL/nios/lcdcore/synthesis/submodules/lcdcore_nios2_gen2_0_cpu.v" is an OpenCore Plus time-limited file. Remove the unlicensed cores or obtain a license for those OpenCore Plus time-limited IP cores used in the design. The Intel FPGA Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number.​Error (204009): Can't generate netlist output files because the license for encrypted file "D:/VHDL/nios/lcdcore/synthesis/submodules/lcdcore_nios2_gen2_0_cpu.v" is not available我配置完qsy之后,将原理图进行编译的时候出现这两类错误,这是哪儿的原因????​

無唁苡對 2018-10-19 阅读:1146

6

回答

我用quartus ii就能完成fpga的所有配置,那为什么还要用nios ii???两者有联系么?

無唁苡對 2018-10-19 阅读:876

4

回答

FPGA写解码,怎么做到时钟同步,调制是OOK,调制解调独立

d1fb6c5b831aa2bc 2018-10-18 阅读:875

8

回答

Xilinx的FPGA有哪些板上调试的方法?

夕阳血 2018-10-18 阅读:886

6

回答

自己画了一个FPGA的板子,FPGA型号为EP4CE22F17     Flash型号为M25P64(16引脚)。用Jtag口烧写jic文件总是烧录83%出现错误,哪位大神能指点一下。​Jtag原理图如下所示

listening 2018-10-17 阅读:1102

11

回答

想学习FPGA不知道如何入手,帮忙推荐推荐看什么书还是看视频,有没有便宜点的开发板推荐,

Wyatt0007 2018-10-17 阅读:769

9

回答

我用fpga的pll生成两个倍频时钟,但是两个时钟好像有倍数的关系。我第一个时钟设置成400M,第二个时钟设置成1000M就报错,设置成1200M就好了。当我第一个时钟为100M的时候,第二个时钟为1000M就可以。这是为什么???

無唁苡對 2018-10-15 阅读:1023

12

回答
VHDL实现分频 FGPA/CPLD

我想分频实现50%占空比的时钟,但是波形不平滑,是什么原因???

無唁苡對 2018-10-13 阅读:854

15

回答

fpga的程序用quartus ii下载一直failed,也没提示错误,这怎么检查???

無唁苡對 2018-10-12 阅读:2930

2

回答

我从地址00000写入ram,但是读的时候需要从00001开始读结果才是对的,这是为什么???

無唁苡對 2018-10-11 阅读:971

9

回答
fpga时序 FGPA/CPLD

fpga接收一帧数据,但是不知道丢没丢失数据,如果数据丢失则舍弃这一帧数据,fpga这边应该怎么判断这帧数据丢没丢失,这有什么办法????

無唁苡對 2018-10-11 阅读:904
  • 帮助人数
  • 0
  • 获得赞数
  • 0
  • 一周积分
  • 0

问题达人换一批

本月问答

排名
用户名
问答积分
< >